图片仅供参考

详细数据请看参考数据手册

Datasheet下载
  • 型号: CY8C5468AXI-LP106
  • 制造商: Cypress Semiconductor
  • 库位|库存: xxxx|xxxx
  • 要求:
数量阶梯 香港交货 国内含税
+xxxx $xxxx ¥xxxx

查看当月历史价格

查看今年历史价格

CY8C5468AXI-LP106产品简介:

ICGOO电子元器件商城为您提供CY8C5468AXI-LP106由Cypress Semiconductor设计生产,在icgoo商城现货销售,并且可以通过原厂、代理商等渠道进行代购。 CY8C5468AXI-LP106价格参考。Cypress SemiconductorCY8C5468AXI-LP106封装/规格:嵌入式 - 微控制器, ARM® Cortex®-M3 微控制器 IC PSOC® 5 CY8C54LP 32-位 67MHz 256KB(256K x 8) 闪存 100-TQFP(14x14)。您可以下载CY8C5468AXI-LP106参考资料、Datasheet数据手册功能说明书,资料中有CY8C5468AXI-LP106 详细功能的应用电路图电压和使用方法及教程。

产品参数 图文手册 常见问题
参数 数值
A/D位大小

12 bit

产品目录

集成电路 (IC)半导体

描述

PSOC 5LP ANLOG ,67MHZ,256K FLASHARM微控制器 - MCU PSOC 5LP ANLOG 67MHZ,256K FLASH

EEPROM容量

2K x 8

产品分类

嵌入式 - 微控制器

I/O数

62

品牌

Cypress Semiconductor

产品手册

点击此处下载产品Datasheet

产品图片

rohs

符合RoHS无铅 / 符合限制有害物质指令(RoHS)规范要求

产品系列

嵌入式处理器和控制器,微控制器 - MCU,ARM微控制器 - MCU,Cypress Semiconductor CY8C5468AXI-LP106PSOC® 5 CY8C54LP

数据手册

http://www.cypress.com/?docID=49439

产品型号

CY8C5468AXI-LP106

PCN组件/产地

http://www.cypress.com/?docID=48109http://www.cypress.com/?docID=48110http://www.cypress.com/?docID=49128http://www.cypress.com/?docID=49741

RAM容量

64K x 8

产品种类

ARM微控制器 - MCU

供应商器件封装

100-TQFP(14x14)

包装

托盘

可用A/D通道

2

商标

Cypress Semiconductor

商标名

PSoC

处理器系列

CY8C54LP

外设

电容感应,DMA,LCD,POR,PWM,WDT

安装风格

SMD/SMT

定时器数量

4

宽度

14 mm

封装

Tray

封装/外壳

100-LQFP

封装/箱体

TQFP-100

工作温度

-40°C ~ 85°C

工作温度范围

- 40 C to + 85 C

工作电源电压

5.5 V

工厂包装数量

90

振荡器类型

内部

接口类型

SPI, UART, I2C

数据总线宽度

32 bit

数据转换器

A/D 1x12b,D/A 2x8b

最大工作温度

+ 85 C

最大时钟频率

67 MHz

最小工作温度

- 40 C

标准包装

1

核心

ARM Cortex M3

核心处理器

ARM® Cortex®-M3

核心尺寸

32-位

片上ADC

Yes

片上DAC

Yes

电压-电源(Vcc/Vdd)

1.71 V ~ 5.5 V

电源电压-最大

5.5 V

电源电压-最小

0.5 V

程序存储器大小

256 kB

程序存储器类型

Flash

程序存储容量

256KB(256K x 8)

系列

CY8C54LPxxx

连接性

I²C, LIN, SPI, UART/USART, USB

速度

67MHz

长度

14 mm

高度

1.4 mm

推荐商品

型号:PIC12CE519-04/P

品牌:Microchip Technology

产品名称:集成电路(IC)

获取报价

型号:DSPIC33EV32GM004-I/PT

品牌:Microchip Technology

产品名称:集成电路(IC)

获取报价

型号:SPC560P44L3CEFAR

品牌:STMicroelectronics

产品名称:集成电路(IC)

获取报价

型号:PIC16LC710-04/P

品牌:Microchip Technology

产品名称:集成电路(IC)

获取报价

型号:R5F212B7SNFA#X6

品牌:Renesas Electronics America

产品名称:集成电路(IC)

获取报价

型号:STM8L151G4U3

品牌:STMicroelectronics

产品名称:集成电路(IC)

获取报价

型号:STM32F091RCT6

品牌:STMicroelectronics

产品名称:集成电路(IC)

获取报价

型号:ATTINY461-20MU

品牌:Microchip Technology

产品名称:集成电路(IC)

获取报价

样品试用

万种样品免费试用

去申请
CY8C5468AXI-LP106 相关产品

STM8S207S6T6CTR

品牌:STMicroelectronics

价格:

D12350F20V

品牌:Renesas Electronics America

价格:

MSP430FR5739IRHAR

品牌:Texas Instruments

价格:

CY8C28243-24PVXIT

品牌:Cypress Semiconductor Corp

价格:

PIC16F1938-E/SP

品牌:Microchip Technology

价格:

PIC24FJ128GB110-I/PF

品牌:Microchip Technology

价格:

C8051F545-IQ

品牌:Silicon Labs

价格:

ATSAM4LC2AA-MUR

品牌:Microchip Technology

价格:

PDF Datasheet 数据手册内容提取

® PRELIMINARY PSoC 5LP: CY8C58LP Family Datasheet ® Programmable System-on-Chip (PSoC ) General Description With its unique array of configurable blocks, PSoC® 5LP is a true system-level solution providing microcontroller unit (MCU), memory, analog, and digital peripheral functions in a single chip. The CY8C58LP family offers a modern method of signal acquisition, signal processing, and control with high accuracy, high bandwidth, and high flexibility. Analog capability spans the range from thermocouples (near DC voltages) to ultrasonic signals. The CY8C58LP family can handle dozens of data acquisition channels and analog inputs on every general-purpose input/output (GPIO) pin. The CY8C58LP family is also a high-performance configurable digital system with some part numbers including interfaces such as USB, multimaster inter-integrated circuit (I2C), and controller area network (CAN). In addition to communication interfaces, the CY8C58LP family has an easy to configure logic array, flexible routing to all I/O pins, and a high-performance 32-bit ARM® Cortex™-M3 microprocessor core. You can easily create system-level designs using a rich library of prebuilt components and boolean primitives using PSoC Creator™, a hierarchical schematic design entry tool. The CY8C58LP family provides unparalleled opportunities for analog and digital bill of materials integration while easily accommodating last minute design changes through simple firmware updates. Features ❐Library of advanced peripherals • Cyclic redundancy check (CRC) ■32-bit ARM Cortex-M3 CPU core • Pseudo random sequence (PRS) generator ❐DC to 67 MHz operation • Local interconnect network (LIN) bus 2.0 ❐Flash program memory, up to 256 KB, 100,000 write cycles, • Quadrature decoder 20-year retention, and multiple security features ❐Up to 32-KB flash error correcting code (ECC) or configura- ■Analog peripherals (1.71V ≤ V ≤ 5.5V) DDA tion storage ❐1.024V ±0.1% internal voltage reference across –40°C to ❐Up to 64 KB SRAM +85°C ❐2-KB electrically erasable programmable read-only memory ❐Configurable delta-sigma ADC with 8- to 20-bit resolution (EEPROM) memory, 1 M cycles, and 20 years retention • Sample rates up to 192 ksps ❐24-channel direct memory access (DMA) with multilayer • Programmable gain stage: ×0.25 to ×16 AHB[1] bus access • 12-bit mode, 192 ksps, 66-dB signal to noise and distortion • Programmable chained descriptors and priorities ratio (SINAD), ±1-bit INL/DNL • High bandwidth 32-bit transfer support • 16-bit mode, 48 ksps, 84-dB SINAD, ±2-bit INL, ±1-bit DNL ■Low voltage, ultra low power ❐Up to two SAR ADCs, each 12-bit at 1 Msps ❐Wide operating voltage range: 0.5V to 5.5V ❐Four 8-bit 8 Msps current IDACs or 1-Msps voltage VDACs ❐High-efficiency boost regulator from 0.5V input to 1.8V to ❐Four comparators with 95-ns response time 5.0 V output ❐Four uncommitted opamps with 25-mA drive capability ❐3.1 mA at 6 MHz ❐Four configurable multifunction analog blocks. Example con- ❐Low power modes including: figurations are programmable gain amplifier (PGA), tran- • 2-µA sleep mode with real time clock (RTC) and low-volt- simpedance amplifier (TIA), mixer, and sample and hold age detect (LVD) interrupt ❐CapSense support • 300-nA hibernate mode with RAM retention ■Programming, debug, and trace ■Versatile I/O system ❐JTAG (4 wire), serial wire debug (SWD) (2 wire), single wire ❐28 to 72 I/Os (62 GPIOs, 8 SIOs, 2 USBIOs[2]) viewer (SWV), and TRACEPORT interfaces ❐Any GPIO to any digital or analog peripheral routability ❐Cortex-M3 flash patch and breakpoint (FPB) block ❐LCD direct drive from any GPIO, up to 46×16 segments ❐Cortex-M3 Embedded Trace Macrocell™ (ETM™) gener- ❐CapSense® support from any GPIO[3] ates an instruction trace stream. ❐Cortex-M3 data watchpoint and trace (DWT) generates data ❐1.2V to 5.5V I/O interface voltages, up to 4 domains trace information ❐Maskable, independent IRQ on any pin or port ❐Cortex-M3 Instrumentation Trace Macrocell (ITM) can be ❐Schmitt-trigger transistor-transistor logic (TTL) inputs used for printf-style debugging ❐All GPIOs configurable as open drain high/low, ❐DWT, ETM, and ITM blocks communicate with off-chip debug pull-up/pull-down, High-Z, or strong output and trace systems via the SWV or TRACEPORT ❐Configurable GPIO pin state at power-on reset (POR) ❐Bootloader programming supportable through I2C, SPI, ❐25 mA sink on SIO UART, USB, and other interfaces ■Digital peripherals ■Precision, programmable clocking ❐20 to 24 programmable logic device (PLD) based universal ❐3- to 62-MHz internal oscillator over full temperature and volt- digital blocks (UDBs) age range ❐Full CAN 2.0b 16 RX, 8 TX buffers[2] ❐4- to 25-MHz crystal oscillator for crystal PPM accuracy ❐Full-Speed (FS) USB 2.0 12 Mbps using internal oscillator[2] ❐Internal PLL clock generation up to 67 MHz ❐Four 16-bit configurable timers, counters, and PWM blocks ❐32.768-kHz watch crystal oscillator ❐67-MHz, 24-bit fixed point digital filter block (DFB) to ❐Low power internal oscillator at 1, 33, and 100 kHz implement finite impulse response (FIR) and infinite impulse response (IIR) filters ■Temperature and packaging ❐Library of standard peripherals ❐–40°C to +85°C degrees industrial temperature • 8-, 16-, 24-, and 32-bit timers, counters, and PWMs ❐68-pin QFN and 100-pin TQFP package options. • Serial peripheral interface (SPI), universal asynchronous transmitter receiver (UART), and I2C • Many others available in catalog Notes 1. AHB – AMBA (advanced microcontroller bus architecture) high-performance bus, an ARM data transfer bus 2. This feature on select devices only. See Ordering Information on page 115 for details. 3. GPIOs with opamp outputs are not recommended for use with CapSense. , CypressSemiconductorCorporation • 198 Champion Court • SanJose CA 95134-1709 • 408-943-2600 Document Number: 001-84932 Rev. ** Revised December 7, 2012

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Contents 1. Architectural Overview .................................................3 8.7 LCD Direct Drive ..................................................54 2. Pinouts ...........................................................................5 8.8 CapSense .............................................................55 8.9 Temp Sensor ........................................................55 3. Pin Descriptions ............................................................9 8.10 DAC ....................................................................55 4. CPU ...............................................................................11 8.11 Up/Down Mixer ...................................................56 4.1 ARM Cortex-M3 CPU ...........................................11 8.12 Sample and Hold ................................................56 4.2 Cache Controller ..................................................12 9. Programming, Debug Interfaces, Resources ............57 4.3 DMA and PHUB ...................................................12 9.1 JTAG Interface .....................................................57 4.4 Interrupt Controller ...............................................15 9.2 SWD Interface ......................................................59 5. Memory .........................................................................17 9.3 Debug Features ....................................................60 5.1 Static RAM ...........................................................17 9.4 Trace Features .....................................................60 5.2 Flash Program Memory ........................................17 9.5 SWV and TRACEPORT Interfaces ......................60 5.3 Flash Security .......................................................17 9.6 Programming Features .........................................60 5.4 EEPROM ..............................................................17 9.7 Device Security ....................................................60 5.5 Nonvolatile Latches (NVLs) ..................................18 10. Development Support ...............................................61 5.6 External Memory Interface ...................................19 10.1 Documentation ...................................................61 5.7 Memory Map ........................................................20 10.2 Online .................................................................61 6. System Integration ......................................................21 10.3 Tools ...................................................................61 6.1 Clocking System ...................................................21 11. Electrical Specifications ...........................................62 6.2 Power System ......................................................24 11.1 Absolute Maximum Ratings ................................62 6.3 Reset ....................................................................28 11.2 Device Level Specifications ................................63 6.4 I/O System and Routing .......................................29 11.3 Power Regulators ...............................................65 7. Digital Subsystem .......................................................36 11.4 Inputs and Outputs .............................................69 7.1 Example Peripherals ............................................36 11.5 Analog Peripherals .............................................77 7.2 Universal Digital Block ..........................................38 11.6 Digital Peripherals ............................................100 7.3 UDB Array Description .........................................41 11.7 Memory ............................................................104 7.4 DSI Routing Interface Description ........................41 11.8 PSoC System Resources .................................108 7.5 CAN ......................................................................43 11.9 Clocking ............................................................111 7.6 USB ......................................................................44 12. Ordering Information ...............................................115 7.7 Timers, Counters, and PWMs ..............................44 12.1 Part Numbering Conventions ...........................116 7.8 I2C ........................................................................45 7.9 Digital Filter Block .................................................46 13. Packaging .................................................................117 8. Analog Subsystem ......................................................46 14. Acronyms .................................................................119 8.1 Analog Routing .....................................................48 15. Reference Documents .............................................120 8.2 Delta-sigma ADC ..................................................50 16. Document Conventions ..........................................121 8.3 Successive Approximation ADC ...........................51 16.1 Units of Measure ..............................................121 8.4 Comparators .........................................................51 17. Revision History ......................................................122 8.5 Opamps ................................................................53 8.6 Programmable SC/CT Blocks ..............................53 18. Sales, Solutions, and Legal Information ...............122 Document Number: 001-84932 Rev. ** Page 2 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 1. Architectural Overview Introducing the CY8C58LP family of ultra low power, flash Programmable System-on-Chip (PSoC) devices, part of a scalable 8-bit PSoC3 and 32-bit PSoC 5LP platform. The CY8C58LP family provides configurable blocks of analog, digital, and interconnect circuitry around a CPU subsystem. The combination of a CPU with a flexible analog subsystem, digital subsystem, routing, and I/O enables a high level of integration in a wide variety of consumer, industrial, and medical applications. Figure 1-1. Simplified Block Diagram Analog Interconnect Digital Interconnect O Os System Wide Digital System SI (4O-2p5tiMonHazl) GPI RXetsalources or UDB 8T-imBietU rDBUQunaidvraeturrse DaUelDc BoDdeigritalSequencer BlUoDcB1Pk6W- BAMitr ra1y6- (BU2itD 4PBR xS UDB)UDB UDB C2A.0N MSIa2lasCvteer/ USB 22 Ω 3(2O.7p6t8io KnaH)lz GPIOs OIMsOc Clock Tree Usage Example f I2C UUSUlDDDaBBvBe 8-BiUUUt SDDDPBBBI 1L2o-gBUUiUcitDDD SBBBPI 8T-imBiUUeUt rDDDBBBLogic UUUDDDBBB UUUDDDBBB CPTo4iWum xnMetre r FS2 U.0SB PHGPIOsY UART 12-Bit PWM RTC Timer System Bus Memory System CPU System Program & Os WDT Debug PI Waankde EEPROM SRAM Cortex M3 CPU CInotnetrrroullpetr Program G GPIOs EMIF FLASH Cache PHUB BoDTuernbaducager &y ILO Controller DMA Scan Clocking System SIOs PoweSry Mstaenmagement LCDDr iDveirect DBFiligolticetakrl AADnCaslog System 4 x + GPIOs POR and 2 x Opamp 3 per LVD SAR - Opamp 4 x SC/CT Blocks ADC Sleep (TIA, PGA, Mixer etc) Power 1.71 to5.5V 1.8V LDO TemSpeenrsaoturre 4 x DAC De1l xS ig C4M xP +- GPIOs SMP CapSense ADC 0.5 to 5.5V (Optiona)l Figure1-1 illustrates the major components of the CY8C58LP PSoC’s digital subsystem provides half of its unique family. They are: configurability. It connects a digital signal from any peripheral to any pin through the digital system interconnect (DSI). It also ■ARM Cortex-M3 CPU subsystem provides functional flexibility through an array of small, fast, low ■Nonvolatile subsystem power UDBs. PSoC Creator provides a library of pre-built and tested standard digital peripherals (UART, SPI, LIN, PRS, CRC, ■Programming, debug, and test subsystem timer, counter, PWM, AND, OR, and so on) that are mapped to ■Inputs and outputs the UDB array. You can also easily create a digital circuit using boolean primitives by means of graphical design entry. Each ■Clocking UDB contains programmable array logic (PAL)/programmable ■Power logic device (PLD) functionality, together with a small state machine engine to support a wide variety of peripherals. ■Digital subsystem ■Analog subsystem Document Number: 001-84932 Rev. ** Page 3 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet In addition to the flexibility of the UDB array, PSoC also provides In addition to the ADCs, DACs, and DFB, the analog subsystem configurable digital blocks targeted at specific functions. For the provides multiple: CY8C58LP family, these blocks can include four 16-bit timers, counters, and PWM blocks; I2C slave, master, and multimaster; ■Comparators Full-Speed USB; and Full CAN 2.0b. ■Uncommitted opamps For more details on the peripherals see the “Example ■Configurable switched capacitor/continuous time (SC/CT) Peripherals” section on page36 of this datasheet. For blocks. These support: information on UDBs, DSI, and other digital blocks, see the ❐Transimpedance amplifiers “Digital Subsystem” section on page36 of this datasheet. ❐Programmable gain amplifiers PSoC’s analog subsystem is the second half of its unique ❐Mixers configurability. All analog performance is based on a highly ❐Other similar analog components accurate absolute voltage reference with less than 0.1% error over temperature and voltage. The configurable analog See the “Analog Subsystem” section on page46 of this subsystem includes: datasheet for more details. PSoC’s CPU subsystem is built around a 32-bit three-stage ■Analog muxes pipelined ARM Cortex-M3 processor running at up to 67 MHz. ■Comparators The Cortex-M3 includes a tightly integrated nested vectored interrupt controller (NVIC) and various debug and trace modules. ■Analog mixers The overall CPU subsystem includes a DMA controller, flash ■Voltage references cache, and RAM. The NVIC provides low latency, nested interrupts, and tail-chaining of interrupts and other features to ■ADCs increase the efficiency of interrupt handling. The DMA controller enables peripherals to exchange data without CPU involvement. ■DACs This allows the CPU to run slower (saving power) or use those ■Digital filter block (DFB) CPU cycles to improve the performance of firmware algorithms. The flash cache also reduces system power consumption by All GPIO pins can route analog signals into and out of the device allowing less frequent flash access. using the internal analog bus. This allows the device to interface up to 62 discrete analog signals. One of the ADCs in the analog PSoC’s nonvolatile subsystem consists of flash, byte-writeable subsystem is a fast, accurate, configurable delta-sigma ADC EEPROM, and nonvolatile configuration options. It provides up with these features: to 256KB of on-chip flash. The CPU can reprogram individual blocks of flash, enabling boot loaders. You can enable an ECC ■Less than 100-µV offset for high reliability applications. A powerful and flexible protection ■A gain error of 0.2% model secures the user's sensitive information, allowing selective memory block locking for read and write protection. ■Integral non linearity (INL) less than ±2 LSB Two KB of byte-writable EEPROM is available on-chip to store application data. Additionally, selected configuration options ■Differential non linearity (DNL) less than ±1 LSB such as boot speed and pin drive mode are stored in nonvolatile ■SINAD better than 84 dB in 16-bit mode memory. This allows settings to activate immediately after POR. This converter addresses a wide variety of precision analog The three types of PSoC I/O are extremely flexible. All I/Os have applications including some of the most demanding sensors. many drive modes that are set at POR. PSoC also provides up The CY8C58LP family also offers up to two SAR ADCs. to four I/O voltage domains through the VDDIO pins. Every GPIO Featuring 12-bit conversions at up to 1 M samples per second, has analog I/O, LCD drive, CapSense, flexible interrupt they also offer low nonlinearity and offset errors and SNR better generation, slew rate control, and digital I/O capability. The SIOs than 70dB. They are well-suited for a variety of higher speed on PSoC allow VOH to be set independently of VDDIO when used analog applications. as outputs. When SIOs are in input mode they are high impedance. This is true even when the device is not powered or The output of any of the ADCs can optionally feed the when the pin voltage goes above the supply voltage. This makes programmable DFB via DMA without CPU intervention. You can the SIO ideally suited for use on an I2C bus where the PSoC may configure the DFB to perform IIR and FIR digital filters and not be powered when other devices on the bus are. The SIO pins several user defined custom functions. The DFB can implement also have high current sink capability for applications such as filters with up to 64 taps. It can perform a 48-bit LED drives. The programmable input threshold feature of the multiply-accumulate (MAC) operation in one clock cycle. SIO can be used to make the SIO function as a general purpose Four high-speed voltage or current DACs support 8-bit output analog comparator. For devices with FS USB, the USB physical signals at an update rate of up to 8 Msps. They can be routed interface is also provided (USBIO). When not using USB, these out of any GPIO pin. You can create higher resolution voltage pins may also be used for limited digital functionality and device PWM DAC outputs using the UDB array. This can be used to programming. All the features of the PSoC I/Os are covered in create a pulse width modulated (PWM) DAC of up to 10 bits, at detail in the “I/O System and Routing” section on page29 of this up to 48kHz. The digital DACs in each UDB support PWM, PRS, datasheet. or delta-sigma algorithms with programmable widths. Document Number: 001-84932 Rev. ** Page 4 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet The PSoC device incorporates flexible internal clock generators, 2. Pinouts designed for high stability and factory trimmed for high accuracy. The internal main oscillator (IMO) is the master clock base for Each VDDIO pin powers a specific set of I/O pins. (The USBIOs the system, and has one-percent accuracy at 3 MHz. The IMO are powered from VDDD.) Using the VDDIO pins, a single PSoC can be configured to run from 3 MHz up to 62 MHz. Multiple clock can support multiple voltage levels, reducing the need for derivatives can be generated from the main clock frequency to off-chip level shifters. The black lines drawn on the pinout meet application needs. The device provides a PLL to generate diagrams in Figure2-3 and Figure2-4 show the pins that are system clock frequencies up to 67 MHz from the IMO, external powered by each VDDIO. crystal, or external reference clock. It also contains a separate, Each VDDIO may source up to 100 mA total to its associated I/O very low-power internal low-speed oscillator (ILO) for the sleep pins, as shown in Figure2-1. and watchdog timers. A 32.768-kHz external watch crystal is also supported for use in RTC applications. The clocks, together Figure 2-1. VDDIO Current Limit with programmable clock dividers, provide the flexibility to integrate most timing requirements. IDDIO X = 100 mA The CY8C58LP family supports a wide supply operating range from 1.71 to 5.5V. This allows operation from regulated supplies such as 1.8 ± 5%, 2.5V ±10%, 3.3V ± 10%, or 5.0 V ± 10%, or VDDIO X I/O Pins directly from a wide range of battery types. In addition, it provides an integrated high efficiency synchronous boost converter that can power the device from supply voltages as low as 0.5V. This PSoC enables the device to be powered directly from a single battery. In addition, you can use the boost converter to generate other voltages required by the device, such as a 3.3V supply for LCD glass drive. The boost’s output is available on the VBOOST pin, allowing other devices in the application to be powered from the PSoC. PSoC supports a wide range of low power modes. These include a 300-nA hibernate mode with RAM retention and a 2-µA sleep mode with RTC. In the second mode, the optional 32.768-kHz Conversely, for the 100-pin and 68-pin devices, the set of I/O watch crystal runs continuously and maintains an accurate RTC. pins associated with any VDDIO may sink up to 100 mA total, as Power to all major functional blocks, including the programmable shown in Figure2-2. digital and analog peripherals, can be controlled independently Figure 2-2. I/O Pins Current Limit by firmware. This allows low power background processing when some peripherals are not in use. This, in turn, provides a Ipins = 100 mA total device current of only 3.1 mA when the CPU is running at 6MHz. The details of the PSoC power modes are covered in the “Power System” section on page24 of this datasheet. VDDIO X I/O Pins PSoC uses JTAG (4 wire) or SWD (2 wire) interfaces for programming, debug, and test. Using these standard interfaces you can debug or program the PSoC with a variety of hardware PSoC solutions from Cypress or third party vendors. The Cortex-M3 debug and trace modules include FPB, DWT, ETM, and ITM. These modules have many features to help solve difficult debug and trace problems. Details of the programming, test, and VSSD debugging interfaces are discussed in the “Programming, Debug Interfaces, Resources” section on page57 of this datasheet. Document Number: 001-84932 Rev. ** Page 5 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 2-3. 68-pin QFN Part Pinout[4] F) E R T X E PIO, TRACEDATA[1]) PIO, TRACEDATA[0])PIO, TRACECLK)PIO)PIO)PIO)GPOI)GPIO) PIO, IDAC2)PIO, IDAC0)PIO, OPAMP2-)PIO, OPAMP2+, SAR0 2[5] (GDDIO22[4] (G2[3] (G2[2] (G2[1] (G2[0] (G15[5] (15[4] (DDDSSDCCD0[7] (G0[6] (G0[5] (G0[4] (GDDIO0 PVPPPPPPPVVVPPPPV 6867666564636261605958575655545352 (TRACEDATA[2], GPIO) P2[6] 1 51 P0[3] (GPIO, OPAMP0-, EXTREF0) (TRACEDATA[3], GPIO) P2[7] 2 50 P0[2] (GPIO, OPAMP0+, SAR1 EXTREF) (I2C0: SCL, SIO) P12[4] 3 49 P0[1] (GPIO, OPAMP0OUT) (I2C0: SDA, SIO) P12[5] 4 Lines show VDDIO 48 P0[0] (GPIO, OPAMP2OUT) to I/O supply VSSB 5 association 47 P12[3] (SIO) IND 6 46 P12[2] (SIO) VBOOST 7 45 VSSD VBAT 8 QFN 44 VDDA VSSD 9 43 VSSA XRES 10 (TOP VIEW) 42 VCCA (TMS, SWDIO, GPIO) P1[0] 11 41 P15[3] (GPIO, KHZ XTAL: XI) (TCK, SWDCK, GPIO) P1[1] 12 40 P15[2] (GPIO, KHZ XTAL: XO) (Configurable XRES, GPIO) P1[2] 13 39 P12[1] (SIO, I2C1: SDA) (TDO, SWV, GPIO) P1[3] 14 38 P12[0] (SIO, 12C1: SCL) (TDI, GPIO) P1[4] 15 37 P3[7] (GPIO, OPAMP3OUT) (NTRST, GPIO) P1[5] 16 36 P3[6] (GPIO, OPAMP1OUT) VDDIO1 17 35 VDDIO3 89012345678901234 11222222222233333 (GPIO) P1[6](GPIO) P1[7](SIO) P12[6](SIO) P12[7][5](USBIO, D+, SWDIO) P15[6][5](USBIO, D-, SWDCK) P15[7]VDDDVSSDVCCD(MHZ XTAL: XO, GPIO) P15[0](MHZ XTAL: XI, GPIO) P15[1](IDAC1, GPIO) P3[0](IDAC3, GPIO) P3[1]OPAMP3-, EXTREF1, GPIO) P3[2](OPAMP3+, GPIO) P3[3](OPAMP1-, GPIO) P3[4](OPAMP1+, GPIO) P3[5] ( Notes 4. The center pad on the QFN package should be connected to digital ground (VSSD) for best mechanical, thermal, and electrical performance. If not connected to ground, it should be electrically floated and not connected to any other signal. 5. Pins are Do Not Use (DNU) on devices without USB. The pin must be left floating. Document Number: 001-84932 Rev. ** Page 6 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 2-4. 100-pin TQFP Part Pinout F) E R T X E PIO, TRACEDATA[0])PIO, TRACECLK)PIO)PIO)PIO)GPIO)GPIO)PIO)PIO)PIO)PIO) PIO)PIO) PIO)PIO)PIO)PIO)PIO, IDAC2)PIO, IDAC0)PIO, OPAMP2-)PIO, OPAMP2+, SAR0 DDIO22[4] (G2[3] (G2[2] (G2[1] (G2[0] (G15[5] (15[4] (6[3] (G6[2] (G6[1] (G6[0] (GDDDSSDCCD4[7] (G4[6] (G 4[5] (G4[4] (G4[3] (G4[2] (G0[7] (G0[6] (G0[5] (G0[4] (G VPPPPPPPPPPPVVVPP PPPPPPPP (TRACEDATA[1], GPIO) P2[5] 110099989796959493929190898887868584838281807978777675 VDDIO0 (TRACEDATA[2], GPIO) P2[6] 2 74 P0[3] (GPIO, OPAMP0-, EXTREF0) (TRACEDATA[3], GPIO) P2[7] 3 73 P0[2] (GPIO, OPAMP0+, SAR1 EXTREF) (I2C0: SCL, SIO) P12[4] 4 Lines show VDDIO 72 P0[1] (GPIO, OPAMP0OUT) to I/O supply (I2C0: SDA, SIO) P12[5] 5 association 71 P0[0] (GPIO, OPAMP2OUT) (GPIO) P6[4] 6 70 P4[1] (GPIO) (GPIO) P6[5] 7 69 P4[0] (GPIO) (GPIO) P6[6] 8 68 P12[3] (SIO) (GPIO) P6[7] 9 67 P12[2] (SIO) VSSB 10 66 VSSD IND 11 65 VDDA VBOOST 12 64 VSSA VBAT 13 TQFP 63 VCCA VSSD 14 62 NC XRES 15 61 NC (GPIO) P5[0] 16 60 NC (GPIO) P5[1] 17 59 NC (GPIO) P5[2] 18 58 NC (GPIO) P5[3] 19 57 NC (TMS, SWDIO, GPIO) P1[0] 20 56 P15[3] (GPIO, KHZ XTAL: XI) (TCK, SWDCK, GPIO) P1[1] 21 55 P15[2] (GPIO, KHZ XTAL: XO) (Configurable XRES, GPIO) P1[2] 22 54 P12[1] (SIO, I2C1: SDA) (TDO, SWV, GPIO) P1[3] 23 53 P12[0] (SIO, I2C1: SCL) (TDI, GPIO) P1[4] 24 52 P3[7] (GPIO, OPAMP3OUT) (NTRST, GPIO) P1[5] 25678901234567890123456789051 P3[6] (GPIO, OPAMP1OUT) 2222333333333344444444445 VDDIO1(GPIO) P1[6](GPIO) P1[7](SIO) P12[6](SIO) P12[7](GPIO) P5[4](GPIO) P5[5](GPIO) P5[6][6](GPIO) P5[7][6](USBIO, D+, SWDIO) P15[6](USBIO, D-, SWDCK) P15[7]VDDDVSSDVCCDNCNC(MHZ XTAL: XO, GPIO) P15[0](MHZ XTAL: XI, GPIO) P15[1](IDAC1, GPIO) P3[0](IDAC3, GPIO) P3[1]OPAMP3-, EXTREF1, GPIO) P3[2](OPAMP3+, GPIO) P3[3](OPAMP1-, GPIO) P3[4](OPAMP1+, GPIO) P3[5]VDDIO3 ( Figure 2-5 on page 8 and Figure 2-6 on page 9 show an example schematic and an example PCB layout, for the 100-pin TQFP part, for optimal analog performance on a two-layer board. ■The two pins labeled VDDD must be connected together. ■The two pins labeled VCCD must be connected together, with capacitance added, as shown in Figure2-5 and “Power System” section on page24. The trace between the two VCCD pins should be as short as possible. ■The two pins labeled VSSD must be connected together. For information on circuit board layout issues for mixed signals, refer to the application note, AN57821 - Mixed Signal Circuit Board Layout Considerations for PSoC® 3 and PSoC 5. Notes 6. Pins are Do Not Use (DNU) on devices without USB. The pin must be left floating. Document Number: 001-84932 Rev. ** Page 7 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 2-5. Example Schematic for 100-pin TQFP Part with Power Connections VDDD VDDD C1 C2 VDDD 1 UF 0.1 UF D VCCD 0C.61 UF VDD VSSD VDDDVSSD VSSD VSSD 100999897969594939291908988878685848382818079787776 1234 PPPP22212[[[567[]]]4], SIOVDDIO2P2[4]P2[3]P2[2]P2[1]P2[0]P15[5]P15[4]P6[3]P6[2]P6[1]P6[0]VDDDVSSDVCCDP4[7]P4[6]P4[5]P4[4]P4[3]OP4[2]A0IDAC2, P0[7]+O,IDAC0, P0[6] ASOOA2-, P0[5]0A-AR, OA2+, 0R1ORESAR0REF, UEFVFT0P0[4]D,,, PPPD000IO[[[1230]]] 77774235 VDD0DC.18 UF VDD1AC U17F 5 P12[5], SIO OA2OUT, P0[0] 71 VSSD VSSD 111111196780123456 VIVVVXPPPPPNSBBSR56666D[[[[[SOASE07456BTDS]]]]]OST SSIIOO,, PPVVVVPP11CDSS4422NNNCDSS[[[[0123CCCDAAA]]]] 6666666766634578900126 VVVVVCDSSSCDSSSAAADD1C 9UFVSSDVVDSDS0AAC.11 0UF 17 P5[1] NC 59 18 P5[2] NC 58 1290 PP51[[30]], SWDIO, TMS KHZXIN, P15N[3C] 5576 VSSA 21 P1[1], SWDCK, TCK KHZXOUT, P15[2] 55 22222345 PPPP1111[[[[2453]]]],,, NTSDWTRIVDDIO1VS, P1[6]TTDP1[7]OP12[6], SIOP12[7], SIOP5[4]P5[5]P5[6]P5[7]USB D+, P15[6]USB D-, P15[7]VDDDVSSDVCCDNCNCP15[0], MHZXOUTP15[1], MHZXINP3[0], IDAC1P3[1], IDAC3P3[2], OA3-, REF1P3[3], OA3+P3[4], OA1-OP3[5], OA1+OAAVDDIO33SS1OOIIUOOUT,,T ,PP, P11P3223[[[[7016]]]] 55551342 VDDD26272829303132333435363738394041424344454647484950 VDDD C11 0C.11 2UF DDD VSSD CCD 0.1 UF V V VSSD VSSD C16 C15 0.1 UF 1 UF VSSD Note The two V pins must be connected together with as short a trace as possible. A trace under the device is recommended, as CCD shown in Figure2-6. Document Number: 001-84932 Rev. ** Page 8 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 2-6. Example PCB Layout for 100-pin TQFP Part for Optimal Analog Performance VSSA VDDD VSSD VDDA VSSA VSSD Plane Plane 3. Pin Descriptions SIO. Provides interfaces to the CPU, digital peripherals and interrupts with a programmable high threshold voltage, analog IDAC0, IDAC1, IDAC2, IDAC3. Low-resistance output pin for comparator, high sink current, and high impedance state when high-current DACs (IDAC). the device is unpowered. Opamp0out, Opamp1out, Opamp2out, Opamp3out. High SWDCK. SWD Clock programming and debug port connection. current output of uncommitted opamp.[7] SWDIO. SWD Input and Output programming and debug port Extref0, Extref1. External reference input to the analog system. connection. SAR0 EXTREF, SAR1 EXTREF. External references for SAR TCK. JTAG Test Clock programming and debug port connection. ADCs TDI. JTAG Test Data In programming and debug port Opamp0-, Opamp1-, Opamp2-, Opamp3-. Inverting input to connection. uncommitted opamp. TDO. JTAG Test Data Out programming and debug port Opamp0+, Opamp1+, Opamp2+, Opamp3+. Noninverting connection. input to uncommitted opamp. TMS. JTAG Test Mode Select programming and debug port GPIO. Provides interfaces to the CPU, digital peripherals, connection. analog peripherals, interrupts, LCD segment drive, and CapSense.[7] TRACECLK. Cortex-M3 TRACEPORT connection, clocks TRACEDATA pins. I2C0: SCL, I2C1: SCL. I2C SCL line providing wake from sleep on an address match. Any I/O pin can be used for I2C SCL if TRACEDATA[3:0]. Cortex-M3 TRACEPORT connections, output data. wake from sleep is not required. I2C0: SDA, I2C1: SDA. I2C SDA line providing wake from sleep SWV. SWV output. on an address match. Any I/O pin can be used for I2C SDA if USBIO, D+. Provides D+ connection directly to a USB 2.0 bus. wake from sleep is not required. May be used as a digital I/O pin; it is powered from VDDD instead of from a VDDIO. Pins are Do Not Use (DNU) on devices without Ind. Inductor connection to boost pump. USB. kHz XTAL: Xo, kHz XTAL: Xi. 32.768-kHz crystal oscillator pin. USBIO, D-. Provides D- connection directly to a USB 2.0 bus. MHz XTAL: Xo, MHz XTAL: Xi. 4 to 25-MHz crystal oscillator May be used as a digital I/O pin; it is powered from VDDD instead pin. of from a VDDIO. Pins are Do Not Use (DNU) on devices without USB. nTRST. Optional JTAG Test Reset programming and debug port connection to reset the JTAG connection. VBOOST. Power sense connection to boost pump. Notes 7. GPIOs with opamp outputs are not recommended for use with CapSense. Document Number: 001-84932 Rev. ** Page 9 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet VBAT. Battery supply to boost pump. VDDA. Supply for all analog peripherals and analog core regulator. VDDA must be the highest voltage present on the VCCA. Output of the analog core regulator or the input to device. All other supply pins must be less than or equal to the analog core. Requires a 1uF capacitor to VSSA. The VDDA. regulator output is not designed to drive external circuits. Note that if you use the device with an external core regulator VDDD. Supply for all digital peripherals and digital core (externally regulated mode), the voltage applied to this pin regulator. VDDD must be less than or equal to VDDA. must not exceed the allowable range of 1.71 V to 1.89 V. VSSA. Ground for all analog peripherals. When using the internal core regulator, (internally regulated mode, the default), do not tie any power to this pin. For details VSSB. Ground connection for boost pump. see “Power System” section on page24. VSSD. Ground for all digital logic and I/O pins. VCCD. Output of the digital core regulator or the input to the digital core. The two VCCD pins must be shorted together, with VDDIO0, VDDIO1, VDDIO2, VDDIO3. Supply for I/O pins. Each the trace between them as short as possible, and a 1uF capacitor VDDIO must be tied to a valid operating voltage (1.71V to 5.5V), to VSSD. The regulator output is not designed to drive external and must be less than or equal to VDDA. circuits. Note that if you use the device with an external core XRES (and configurable XRES). External reset pin. Active low regulator (externally regulated mode), the voltage applied to with internal pull-up. Pin P1[2] may be configured to be a XRES this pin must not exceed the allowable range of 1.71 V to pin; see “Nonvolatile Latches (NVLs)” on page18. 1.89 V. When using the internal core regulator (internally regulated mode, the default), do not tie any power to this pin. For details see “Power System” section on page24. Document Number: 001-84932 Rev. ** Page 10 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 4. CPU 4.1 ARM Cortex-M3 CPU The CY8C58LP family of devices has an ARM Cortex-M3 CPU core. The Cortex-M3 is a low-power 32-bit three-stage pipelined Harvard-architecture CPU that delivers 1.25 DMIPS/MHz. It is intended for deeply embedded applications that require fast interrupt handling features. Figure 4-1. ARM Cortex-M3 Block Diagram Data Nested Interrupt Inputs Vectored Cortex M3 CPU Core Watchpoint and Embedded Trace (DWT) Interrupt Trace Module Controller (ETM) (NVIC) Instrumentation Trace Module I-Bus D-Bus S-Bus (ITM) Trace Pins: JTAG/SWD Debug Block Trace Port 5 for TRACEPORT or (Serial and Flash Patch Interface Unit 1 for SWV mode JTAG) and Breakpoint (TPIU) (FPB) C-Bus Cortex M3 Wrapper AHB AHB 32 KB Bus SRAM Matrix Bus 256 KB Matrix Cache ECC Flash AHB 32 KB Bus SRAM Matrix AHB Bridge & Bus Matrix DMA PHUB AHB Spokes GPIO & Prog. Prog. Special EMIF Digital Analog Functions Peripherals The Cortex-M3 CPU subsystem includes these features: 4.1.1 Cortex-M3 Features ■ARM Cortex-M3 CPU The Cortex-M3 CPU features include: ■4 GB address space. Predefined address regions for code, ■Programmable nested vectored interrupt controller (NVIC), data, and peripherals. Multiple buses for efficient and tightly integrated with the CPU core simultaneous accesses of instructions, data, and peripherals. ■Full featured debug and trace modules, tightly integrated with ■The Thumb®-2 instruction set, which offers ARM-level the CPU core performance at Thumb-level code density. This includes 16-bit ■Up to 256 KB of flash memory, 2 KB of EEPROM, and 64 KB and 32-bit instructions. Advanced instructions include: of SRAM ❐Bit-field control ❐Hardware multiply and divide ■Cache controller ❐Saturation ■Peripheral HUB (PHUB) ❐If-Then ❐Wait for events and interrupts ■DMA controller ❐Exclusive access and barrier ■External memory interface (EMIF) ❐Special register access Document Number: 001-84932 Rev. ** Page 11 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet The Cortex-M3 does not support ARM instructions for SRAM Table 4-2. Cortex M3 CPU Registers (continued) addresses. Register Description ■Bit-band support for the SRAM region. Atomic bit-level write R14 R14 is the link register (LR). The LR stores the return and read operations for SRAM addresses. address when a subroutine is called. R15 R15 is the program counter (PC). Bit 0 of the PC is ■Unaligned data storage and access. Contiguous storage of ignored and considered to be 0, so instructions are data of different byte lengths. always aligned to a half word (2 byte) boundary. ■Operation at two privilege levels (privileged and user) and in xPSR The program status registers are divided into three two modes (thread and handler). Some instructions can only status registers, which are accessed either together or separately: be executed at the privileged level. There are also two stack pointers: Main (MSP) and Process (PSP). These features ■Application program status register (APSR) holds support a multitasking operating system running one or more program execution status bits such as zero, carry, user-level processes. negative, in bits[27:31]. ■Interrupt program status register (IPSR) holds the ■Extensive interrupt and system exception support. current exception number in bits[0:8]. 4.1.2 Cortex-M3 Operating Modes ■Execution program status register (EPSR) holds control bits for interrupt continuable and IF-THEN The Cortex-M3 operates at either the privileged level or the user instructions in bits[10:15] and [25:26]. Bit 24 is level, and in either the thread mode or the handler mode. always set to 1 to indicate Thumb mode. Trying to Because the handler mode is only enabled at the privileged level, clear it causes a fault exception. there are actually only three states, as shown in Table4-1. PRIMASK A 1-bit interrupt mask register. When set, it allows Table 4-1. Operational Level only the nonmaskable interrupt (NMI) and hard fault exception. All other exceptions and interrupts are Condition Privileged User masked. Running an exception Handler mode Not used FAULTMASK A 1-bit interrupt mask register. When set, it allows only the NMI. All other exceptions and interrupts are Running main program Thread mode Thread mode masked. BASEPRI A register of up to nine bits that define the masking At the user level, access to certain instructions, special registers, priority level. When set, it disables all interrupts of configuration registers, and debugging components is blocked. the same or higher priority value. If set to 0 then the Attempts to access them cause a fault exception. At the masking function is disabled. privileged level, access to all instructions and registers is CONTROL A 2-bit register for controlling the operating mode. allowed. Bit 0: 0 = privileged level in thread mode, 1 = user level in thread mode. The processor runs in the handler mode (always at the privileged Bit 1: 0 = default stack (MSP) is used, level) when handling an exception, and in the thread mode when 1 = alternate stack is used. If in thread mode or user not. level then the alternate stack is the PSP. There is no alternate stack for handler mode; the bit must be 0 4.1.3 CPU Registers while in handler mode. The Cortex-M3 CPU registers are listed in Table4-2. Registers 4.2 Cache Controller R0-R15 are all 32 bits wide. Table 4-2. Cortex M3 CPU Registers The CY8C58LP family has a 1 KB instruction cache between the CPU and the flash memory. This improves instruction execution Register Description rate and reduces system power consumption by requiring less R0-R12 General purpose registers R0-R12 have no special frequent flash access. architecturally defined uses. Most instructions that specify a general purpose register specify R0-R12. 4.3 DMA and PHUB ■Low registers: Registers R0-R7 are accessible by The PHUB and the DMA controller are responsible for data all instructions that specify a general purpose transfer between the CPU and peripherals, and also data register. transfers between peripherals. The PHUB and DMA also control ■High registers: Registers R8-R12 are accessible device configuration during boot. The PHUB consists of: by all 32-bit instructions that specify a general purpose register; they are not accessible by all ■A central hub that includes the DMA controller, arbiter, and 16-bit instructions. router R13 R13 is the stack pointer register. It is a banked ■Multiple spokes that radiate outward from the hub to most register that switches between two 32-bit stack peripherals pointers: the main stack pointer (MSP) and the process stack pointer (PSP). The PSP is used only There are two PHUB masters: the CPU and the DMA controller. when the CPU operates at the user level in thread Both masters may initiate transactions on the bus. The DMA mode. The MSP is used in all other privilege levels channels can handle peripheral communication without CPU and modes. Bits[0:1] of the SP are ignored and intervention. The arbiter in the central hub determines which considered to be 0, so the SP is always aligned to a DMA channel is the highest priority if there are multiple requests. word (4 byte) boundary. Document Number: 001-84932 Rev. ** Page 12 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 4.3.1 PHUB Features 4.3.3 Priority Levels ■CPU and DMA controller are both bus masters to the PHUB The CPU always has higher priority than the DMA controller when their accesses require the same bus resources. Due to the ■Eight multi-layer AHB bus parallel access paths (spokes) for system architecture, the CPU can never starve the DMA. DMA peripheral access channels of higher priority (lower priority number) may interrupt current DMA transfers. In the case of an interrupt, the current ■Simultaneous CPU and DMA access to peripherals located on transfer is allowed to complete its current transaction. To ensure different spokes latency limits when multiple DMA accesses are requested ■Simultaneous DMA source and destination burst transactions simultaneously, a fairness algorithm guarantees an interleaved on different spokes minimum percentage of bus bandwidth for priority levels 2 through 7. Priority levels 0 and 1 do not take part in the fairness ■Supports 8-, 16-, 24-, and 32-bit addressing and data algorithm and may use 100% of the bus bandwidth. If a tie occurs on two DMA requests of the same priority level, a simple round Table 4-3. PHUB Spokes and Peripherals robin method is used to evenly share the allocated bandwidth. The round robin allocation can be disabled for each DMA PHUB Spokes Peripherals channel, allowing it to always be at the head of the line. Priority 0 SRAM levels 2 to 7 are guaranteed the minimum bus bandwidth shown 1 IOs, PICU, EMIF in Table4-4 after the CPU and DMA priority levels 0 and 1 have satisfied their requirements. 2 PHUB local configuration, Power manager, Clocks, IC, SWV, EEPROM, Flash Table 4-4. Priority Levels programming interface Priority Level % Bus Bandwidth 3 Analog interface and trim, Decimator 4 USB, CAN, I2C, Timers, Counters, and PWMs 0 100.0 1 100.0 5 DFB 2 50.0 6 UDBs group 1 3 25.0 7 UDBs group 2 4 12.5 4.3.2 DMA Features 5 6.2 ■24 DMA channels 6 3.1 ■Each channel has one or more transaction descriptors (TDs) 7 1.5 to configure channel behavior. Up to 128 total TDs can be defined When the fairness algorithm is disabled, DMA access is granted ■TDs can be dynamically updated based solely on the priority level; no bus bandwidth guarantees are made. ■Eight levels of priority per channel 4.3.4 Transaction Modes Supported ■Any digitally routable signal, the CPU, or another DMA channel, can trigger a transaction The flexible configuration of each DMA channel and the ability to chain multiple channels allow the creation of both simple and ■Each channel can generate up to two interrupts per transfer complex use cases. General use cases include, but are not limited to: ■Transactions can be stalled or canceled 4.3.4.1 Simple DMA ■Supports transaction size of infinite or 1 to 64k bytes In a simple DMA case, a single TD transfers data between a ■Large transactions may be broken into smaller bursts of 1 to source and sink (peripherals or memory location). The basic 127 bytes timing diagrams of DMA read and write cycles are shown in ■TDs may be nested and/or chained for complex transactions Figure4-2. For more description on other transfer modes, refer to the Technical Reference Manual. Document Number: 001-84932 Rev. ** Page 13 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 4-2. DMA Timing Diagram ADDRESS Phase DATA Phase ADDRESS Phase DATA Phase CLK CLK ADDR 16/32 A B ADDR 16/32 A B WRITE WRITE DATA DATA (A) DATA DATA (A) READY READY Basic DMA Read Transfer without wait states Basic DMA Write Transfer without wait states 4.3.4.2 Auto Repeat DMA in various noncontiguous locations in memory. Scatter gather DMA allows the segments to be concatenated together by using Auto repeat DMA is typically used when a static pattern is multiple TDs in a chain. The chain gathers the data from the repetitively read from system memory and written to a peripheral. multiple locations. A similar concept applies for the reception of This is done with a single TD that chains to itself. data onto the device. Certain parts of the received data may need 4.3.4.3 Ping Pong DMA to be scattered to various locations in memory for software processing convenience. Each TD in the chain specifies the A ping pong DMA case uses double buffering to allow one buffer location for each discrete element in the chain. to be filled by one client while another client is consuming the data previously received in the other buffer. In its simplest form, 4.3.4.7 Packet Queuing DMA this is done by chaining two TDs together so that each TD calls Packet queuing DMA is similar to scatter gather DMA but the opposite TD when complete. specifically refers to packet protocols. With these protocols, 4.3.4.4 Circular DMA there may be separate configuration, data, and status phases associated with sending or receiving a packet. Circular DMA is similar to ping pong DMA except it contains more than two buffers. In this case there are multiple TDs; after the last For instance, to transmit a packet, a memory mapped TD is complete it chains back to the first TD. configuration register can be written inside a peripheral, specifying the overall length of the ensuing data phase. The CPU 4.3.4.5 Indexed DMA can set up this configuration information anywhere in system In an indexed DMA case, an external master requires access to memory and copy it with a simple TD to the peripheral. After the locations on the system bus as if those locations were shared configuration phase, a data phase TD (or a series of data phase memory. As an example, a peripheral may be configured as an TDs) can begin (potentially using scatter gather). When the data SPI or I2C slave where an address is received by the external phase TD(s) finish, a status phase TD can be invoked that reads master. That address becomes an index or offset into the internal some memory mapped status information from the peripheral system bus memory space. This is accomplished with an initial and copies it to a location in system memory specified by the “address fetch” TD that reads the target address location from CPU for later inspection. Multiple sets of configuration, data, and the peripheral and writes that value into a subsequent TD in the status phase “subchains” can be strung together to create larger chain. This modifies the TD chain on the fly. When the “address chains that transmit multiple packets in this way. A similar fetch” TD completes it moves on to the next TD, which has the concept exists in the opposite direction to receive the packets. new address information embedded in it. This TD then carries 4.3.4.8 Nested DMA out the data transfer with the address location required by the external master. One TD may modify another TD, as the TD configuration space is memory mapped similar to any other peripheral. For example, 4.3.4.6 Scatter Gather DMA a first TD loads a second TD’s configuration and then calls the In the case of scatter gather DMA, there are multiple second TD. The second TD moves data as required by the noncontiguous sources or destinations that are required to application. When complete, the second TD calls the first TD, effectively carry out an overall DMA transaction. For example, a which again updates the second TD’s configuration. This packet may need to be transmitted off of the device and the process repeats as often as necessary. packet elements, including the header, payload, and trailer, exist Document Number: 001-84932 Rev. ** Page 14 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 4.4 Interrupt Controller The Cortex-M3 NVIC supports 16 system exceptions and 32 interrupts from peripherals, as shown in Table4-5. Table 4-5. Cortex-M3 Exceptions and Interrupts Exception Exception Table Exception Type Priority Function Number Address Offset 0x00 Starting value of R13 / MSP 1 Reset -3 (highest) 0x04 Reset 2 NMI -2 0x08 Non maskable interrupt 3 Hard fault -1 0x0C All classes of fault, when the corresponding fault handler cannot be activated because it is currently disabled or masked 4 MemManage Programmable 0x10 Memory management fault, for example, instruction fetch from a nonexecutable region 5 Bus fault Programmable 0x14 Error response received from the bus system; caused by an instruction prefetch abort or data access error 6 Usage fault Programmable 0x18 Typically caused by invalid instructions or trying to switch to ARM mode 7 – 10 - - 0x1C – 0x28 Reserved 11 SVC Programmable 0x2C System service call via SVC instruction 12 Debug monitor Programmable 0x30 Debug monitor 13 - - 0x34 Reserved 14 PendSV Programmable 0x38 Deferred request for system service 15 SYSTICK Programmable 0x3C System tick timer 16 – 47 IRQ Programmable 0x40 – 0x3FC Peripheral interrupt request #0 - #31 Bit 0 of each exception vector indicates whether the exception is ■Support for tail-chaining, and late arrival, of interrupts. This executed using ARM or Thumb instructions. Because the enables back-to-back interrupt processing without the Cortex-M3 only supports Thumb instructions, this bit must overhead of state saving and restoration between interrupts. always be 1. The Cortex-M3 non maskable interrupt (NMI) input ■Processor state automatically saved on interrupt entry, and can be routed to any pin, via the DSI, or disconnected from all restored on interrupt exit, with no instruction overhead. pins. See “DSI Routing Interface Description” section on page41. If the same priority level is assigned to two or more interrupts, the interrupt with the lower vector number is executed first. Each The Nested Vectored Interrupt Controller (NVIC) handles interrupt vector may choose from three interrupt sources: Fixed interrupts from the peripherals, and passes the interrupt vectors Function, DMA, and UDB. The fixed function interrupts are direct to the CPU. It is closely integrated with the CPU for low latency connections to the most common interrupt sources and provide interrupt handling. Features include: the lowest resource cost connection. The DMA interrupt sources ■32 interrupts. Multiple sources for each interrupt. provide direct connections to the two DMA interrupt sources provided per DMA channel. The third interrupt source for vectors ■Configurable number of priority levels: from 3 to 8. is from the UDB digital routing array. This allows any digital signal ■Dynamic reprioritization of interrupts. available to the UDB array to be used as an interrupt source. All ■Priority grouping. This allows selection of preempting and non interrupt sources may be routed to any interrupt vector using the preempting interrupt levels. UDB interrupt source connections. Document Number: 001-84932 Rev. ** Page 15 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Table 4-6. Interrupt Vector Table Interrupt # Cortex-M3 Exception # Fixed Function DMA UDB 0 16 Low voltage detect (LVD) phub_termout0[0] udb_intr[0] 1 17 Cache/ECC phub_termout0[1] udb_intr[1] 2 18 Reserved phub_termout0[2] udb_intr[2] 3 19 Sleep (Pwr Mgr) phub_termout0[3] udb_intr[3] 4 20 PICU[0] phub_termout0[4] udb_intr[4] 5 21 PICU[1] phub_termout0[5] udb_intr[5] 6 22 PICU[2] phub_termout0[6] udb_intr[6] 7 23 PICU[3] phub_termout0[7] udb_intr[7] 8 24 PICU[4] phub_termout0[8] udb_intr[8] 9 25 PICU[5] phub_termout0[9] udb_intr[9] 10 26 PICU[6] phub_termout0[10] udb_intr[10] 11 27 PICU[12] phub_termout0[11] udb_intr[11] 12 28 PICU[15] phub_termout0[12] udb_intr[12] 13 29 Comparators Combined phub_termout0[13] udb_intr[13] 14 30 Switched Caps Combined phub_termout0[14] udb_intr[14] 15 31 I2C phub_termout0[15] udb_intr[15] 16 32 CAN phub_termout1[0] udb_intr[16] 17 33 Timer/Counter0 phub_termout1[1] udb_intr[17] 18 34 Timer/Counter1 phub_termout1[2] udb_intr[18] 19 35 Timer/Counter2 phub_termout1[3] udb_intr[19] 20 36 Timer/Counter3 phub_termout1[4] udb_intr[20] 21 37 USB SOF Int phub_termout1[5] udb_intr[21] 22 38 USB Arb Int phub_termout1[6] udb_intr[22] 23 39 USB Bus Int phub_termout1[7] udb_intr[23] 24 40 USB Endpoint[0] phub_termout1[8] udb_intr[24] 25 41 USB Endpoint Data phub_termout1[9] udb_intr[25] 26 42 Reserved phub_termout1[10] udb_intr[26] 27 43 LCD phub_termout1[11] udb_intr[27] 28 44 DFB Int phub_termout1[12] udb_intr[28] 29 45 Decimator Int phub_termout1[13] udb_intr[29] 30 46 phub_err_int phub_termout1[14] udb_intr[30] 31 47 eeprom_fault_int phub_termout1[15] udb_intr[31] Document Number: 001-84932 Rev. ** Page 16 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 5. Memory Upgrade setting. Use the Unprotected setting only when no security is needed in your application. The PSoC device also 5.1 Static RAM offers an advanced security feature called Device Security which permanently disables all test, programming, and debug ports, CY8C58LP static RAM (SRAM) is used for temporary data protecting your application from external access (see the storage. Code can be executed at full speed from the portion of “Device Security” section on page60). For more information on SRAM that is located in the code space. This process is slower how to take full advantage of the security features in PSoC, see from SRAM above 0x20000000. The device provides up to 64 the PSoC 5 TRM. KB of SRAM. The CPU or the DMA controller can access all of SRAM. The SRAM can be accessed simultaneously by the Table 5-1. Flash Protection Cortex-M3 CPU and the DMA controller if accessing different 32-KB blocks. Protection Allowed Not Allowed Setting 5.2 Flash Program Memory Unprotected External read and write – Flash memory in PSoC devices provides nonvolatile storage for + internal read and write user firmware, user configuration data, bulk data storage, and Factory External write + internal External read optional ECC data. The main flash memory area contains up to Upgrade read and write 256 KB of user program space. Field Upgrade Internal read and write External read and Up to an additional 32 KB of flash space is available for Error write Correcting Codes (ECC). If ECC is not used this space can store device configuration data and bulk user data. User code may not Full Protection Internal read External read and be run out of the ECC flash memory section. ECC can correct write + internal write one bit error and detect two bit errors per 8 bytes of firmware memory; an interrupt can be generated when an error is detected. The flash output is 9 bytes wide with 8 bytes of data Disclaimer and 1 byte of ECC data. Note the following details of the flash code protection features on The CPU or DMA controller read both user code and bulk data Cypress devices. located in flash through the cache controller. This provides Cypress products meet the specifications contained in their higher CPU performance. If ECC is enabled, the cache controller particular Cypress datasheets. Cypress believes that its family of also performs error checking and correction. products is one of the most secure families of its kind on the Flash programming is performed through a special interface and market today, regardless of how they are used. There may be preempts code execution out of flash. Code execution may be methods, unknown to Cypress, that can breach the code done out of SRAM during flash programming. protection features. Any of these methods, to our knowledge, would be dishonest and possibly illegal. Neither Cypress nor any The flash programming interface performs flash erasing, other semiconductor manufacturer can guarantee the security of programming and setting code protection levels. Flash in-system their code. Code protection does not mean that we are serial programming (ISSP), typically used for production guaranteeing the product as “unbreakable.” programming, is possible through both the SWD and JTAG interfaces. In-system programming, typically used for Cypress is willing to work with the customer who is concerned bootloaders, is also possible using serial interfaces such as I2C, about the integrity of their code. Code protection is constantly USB, UART, and SPI, or any communications protocol. evolving. We at Cypress are committed to continuously improving the code protection features of our products. 5.3 Flash Security 5.4 EEPROM All PSoC devices include a flexible flash protection model that prevents access and visibility to on-chip flash memory. This PSoC EEPROM memory is a byte addressable nonvolatile prevents duplication or reverse engineering of proprietary code. memory. The CY8C58LP has 2 KB of EEPROM memory to store Flash memory is organized in blocks, where each block contains user data. Reads from EEPROM are random access at the byte 256 bytes of program or data and 32 bytes of ECC or level. Reads are done directly; writes are done by sending write configuration data. commands to an EEPROM programming interface. CPU code execution can continue from flash during EEPROM writes. The device offers the ability to assign one of four protection EEPROM is erasable and writeable at the row level. The levels to each row of flash. Table5-1 lists the protection modes EEPROM is divided into 128 rows of 16 bytes each. available. Flash protection levels can only be changed by performing a complete flash erase. The Full Protection and Field The CPU can not execute out of EEPROM. There is no ECC Upgrade settings disable external access (through a debugging hardware associated with EEPROM. If ECC is required it must tool such as PSoC Creator, for example). If your application be handled in firmware. requires code update through a boot loader, then use the Field Document Number: 001-84932 Rev. ** Page 17 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 5.5 Nonvolatile Latches (NVLs) PSoC has a 4-byte array of nonvolatile latches (NVLs) that are used to configure the device at reset. The NVL register map is shown in Table5-3.. Table 5-2. Device Configuration NVL Register Map Register Address 7 6 5 4 3 2 1 0 0x00 PRT3RDM[1:0] PRT2RDM[1:0] PRT1RDM[1:0] PRT0RDM[1:0] 0x01 PRT12RDM[1:0] PRT6RDM[1:0] PRT5RDM[1:0] PRT4RDM[1:0] 0x02 XRESMEN PRT15RDM[1:0] 0x03 DIG_PHS_DLY[3:0] ECCEN DPS[1:0] CFGSPEED The details for individual fields and their factory default settings are shown in Table5-3:. Table 5-3. Fields and Factory Default Settings Field Description Settings PRTxRDM[1:0] Controls reset drive mode of the corresponding IO port. 00b (default) - high impedance analog See “Reset Configuration” on page35. All pins of the port 01b - high impedance digital are set to the same mode. 10b - resistive pull up 11b - resistive pull down XRESMEN Controls whether pin P1[2] is used as a GPIO or as an 0 (default) - GPIO external reset. See “Pin Descriptions” on page9, XRES 1 - external reset description. CFGSPEED Controls the speed of the IMO-based clock during the 0 (default) - 12 MHz IMO device boot process, for faster boot or low-power 1 - 48 MHz IMO operation DPS{1:0] Controls the usage of various P1 pins as a debug port. 00b - 5-wire JTAG See “Programming, Debug Interfaces, Resources” on 01b (default) - 4-wire JTAG page57. 10b - SWD 11b - debug ports disabled ECCEN Controls whether ECC flash is used for ECC or for general 0 (default) - ECC disabled configuration and data storage. See “Flash Program 1 - ECC enabled Memory” on page17. DIG_PHS_DLY[3:0] Selects the digital clock phase delay. See the TRM for details. Although PSoC Creator provides support for modifying the device configuration NVLs, the number of NVL erase/write cycles is limited – see “Nonvolatile Latches (NVL)” on page105. Document Number: 001-84932 Rev. ** Page 18 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 5.6 External Memory Interface External memory is located in the Cortex-M3 external RAM space; it can use up to 24 address bits. See Memory Map on CY8C58LP provides an external memory interface (EMIF) for page 20. The memory can be 8 or 16 bits wide. Cortex-M3 connecting to external memory devices. The connection allows instructions can be fetched/executed from external memory, read and write accesses to external memories. The EMIF although at a slower rate than from flash. There is no provision operates in conjunction with UDBs, I/O ports, and other for code security in external memory. If code must be kept hardware to generate external memory address and control secure, then it should be placed in internal flash. See Flash signals. At 33 MHz, each memory access cycle takes four bus Security on page 17 and Device Security on page 60. clock cycles. Figure5-1 is the EMIF block diagram. The EMIF supports synchronous and asynchronous memories. The CY8C58LP only supports one type of external memory device at a time. Figure 5-1. EMIF Block Diagram Address Signals I/O External_MEM_ADDR[23:0] PORTs Data, Address, and Control Signals IO IF Data Signals I/O External_MEM_DATA[15:0] PORTs Control Signals I/O Control PHUB PORTs Data, Address, DSI Dynamic Output and Control Control Signals UDB DSI to Port Other EM Control Control Data, Signals Signals Address, and Control Signals EMIF Document Number: 001-84932 Rev. ** Page 19 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 5.7 Memory Map Table 5-5. Peripheral Data Address Map (continued) The Cortex-M3 has a fixed address map, which allows Address Range Purpose peripherals to be accessed by simple memory access 0x40004F00 – 0x40004FFF Fixed timer/counter/PWMs instructions. 0x40005000 – 0x400051FF I/O ports control 5.7.1 Address Map 0x40005400 – 0x400054FF External Memory Interface The 4-GB address space is divided into the ranges shown in (EMIF) control registers Table5-4: 0x40005800 – 0x40005FFF Analog Subsystem Interface Table 5-4. Address Map 0x40006000 – 0x400060FF USB Controller 0x40006400 – 0x40006FFF UDB Configuration Address Range Size Use 0x40007000 – 0x40007FFF PHUB Configuration 0x00000000 – 0.5 GB Program code. This includes 0x1FFFFFFF the exception vector table at 0x40008000 – 0x400087FF EEPROM power up, which starts at 0x4000A000 – 0x4000A400 CAN address 0. 0x4000C000 – 0x4000C800 Digital Filter Block 0x20000000 – 0.5 GB Static RAM. This includes a 1 0x3FFFFFFF MByte bit-band region 0x40010000 – 0x4001FFFF Digital Interconnect Configuration starting at 0x20000000 and a 32 Mbyte bit-band alias 0x48000000 – 0x48007FFF Flash ECC Bytes region starting at 0x60000000 – 0x60FFFFFF External Memory Interface 0x22000000. (EMIF) 0x40000000 – 0.5 GB Peripherals. 0xE0000000 – 0xE00FFFFF Cortex-M3 PPB Registers, 0x5FFFFFFF including NVIC, debug, and trace 0x60000000 – 1 GB External RAM. 0x9FFFFFFF The bit-band feature allows individual bits in SRAM to be read or 0xA0000000 – 1 GB External peripherals. written as atomic operations. This is done by reading or writing 0xDFFFFFFF bit 0 of corresponding words in the bit-band alias region. For 0xE0000000 – 0.5 GB Internal peripherals, including example, to set bit 3 in the word at address 0x20000000, write a 0xFFFFFFFF the NVIC and debug and 1 to address 0x2200000C. To test the value of that bit, read trace modules. address 0x2200000C and the result is either 0 or 1 depending on the value of the bit. Most memory accesses done by the Cortex-M3 are aligned, that Table 5-5. Peripheral Data Address Map is, done on word (4-byte) boundary addresses. Unaligned Address Range Purpose accesses of words and 16-bit half-words on nonword boundary addresses can also be done, although they are less efficient. 0x00000000 – 0x0003FFFF 256 KB flash 0x1FFF8000 – 0x1FFFFFFF 32 KB SRAM in Code region 5.7.2 Address Map and Cortex-M3 Buses 0x20000000 – 0x20007FFF 32 KB SRAM in SRAM region The ICode and DCode buses are used only for accesses within the Code address range, 0 - 0x1FFFFFFF. 0x40004000 – 0x400042FF Clocking, PLLs, and oscillators The System bus is used for data accesses and debug accesses 0x40004300 – 0x400043FF Power management within the ranges 0x20000000 - 0xDFFFFFFF and 0xE0100000 0x40004500 – 0x400045FF Ports interrupt control - 0xFFFFFFFF. Instruction fetches can also be done within the 0x40004700 – 0x400047FF Flash programming interface range 0x20000000 - 0x3FFFFFFF, although these can be slower than instruction fetches via the ICode bus. 0x40004800 – 0x400048FF Cache controller The private peripheral bus (PPB) is used within the Cortex-M3 to 0x40004900 – 0x400049FF I2C controller access system control registers and debug and trace module 0x40004E00 – 0x40004EFF Decimator registers. Document Number: 001-84932 Rev. ** Page 20 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 6. System Integration ❐DSI signal from an external I/O pin or other logic ❐24- to 67-MHz fractional phase-locked loop (PLL) sourced 6.1 Clocking System from IMO, MHzECO, or DSI ❐1-kHz, 33-kHz, 100-kHz ILO for watchdog timer (WDT) and The clocking system generates, divides, and distributes clocks Sleep Timer throughout the PSoC system. For the majority of systems, no ❐32.768-kHz external crystal oscillator (ECO) for RTC external crystal is required. The IMO and PLL together can generate up to a 67 MHz clock, accurate to ±1% over voltage and ■IMO has a USB mode that auto-locks to the USB bus clock temperature. Additional internal and external clock sources allow requiring no external crystal for USB. (USB equipped parts each design to optimize accuracy, power, and cost. All of the only) system clock sources can be used to generate other clock ■Independently sourced clock in all clock dividers frequencies in the 16-bit clock dividers and UDBs for anything you want, for example a UART baud rate generator. ■Eight 16-bit clock dividers for the digital system Clock generation and distribution is automatically configured ■Four 16-bit clock dividers for the analog system through the PSoC Creator IDE graphical interface. This is based on the complete system’s requirements. It greatly speeds the ■Dedicated 16-bit divider for the CPU bus and CPU clock design process. PSoC Creator allows designers to build clocking ■Automatic clock configuration in PSoC Creator systems with minimal input. The designer can specify desired clock frequencies and accuracies, and the software locates or builds a clock that meets the required specifications. This is possible because of the programmability inherent in PSoC. Key features of the clocking system include: ■Seven general purpose clock sources ❐3- to 62-MHz IMO, ±1% at 3 MHz ❐4- to 25-MHz external crystal oscillator (MHzECO) ❐Clock doubler provides a doubled clock frequency output for the USB block, see USB Clock Domain on page 24. Table 6-1. Oscillator Summary Source Fmin Tolerance at Fmin Fmax Tolerance at Fmax Startup Time IMO 3 MHz ±1% over voltage and temperature 62 MHz ±7% 13 µs max MHzECO 4 MHz Crystal dependent 25 MHz Crystal dependent 5 ms typ, max is crystal dependent DSI 0 MHz Input dependent 66 MHz Input dependent Input dependent PLL 24 MHz Input dependent 67 MHz Input dependent 250 µs max Doubler 48 MHz Input dependent 48 MHz Input dependent 1 µs max ILO 1 kHz –50%, +100% 100 kHz –55%, +100% 15 ms max in lowest power mode kHzECO 32 kHz Crystal dependent 32 kHz Crystal dependent 500 ms typ, max is crystal dependent Document Number: 001-84932 Rev. ** Page 21 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 6-1. Clocking Subsystem External IO 3-62 MHz 4-25 MHz 1,33,100 kHz or DSI 32 kHz ECO IMO ECO ILO 0-66 MHz CPU Clock 48 MHz 24-67 MHz System Doubler for PLL Clock Mux USB Bus Clock Bus Clock Divider 16 bit s Digital Clock Digital Clock Analog Clock k Divider 16 bit Divider 16 bit Divider 16 bit e w s Digital Clock Digital Clock Analog Clock k Divider 16 bit Divider 16 bit Divider 16 bit e w 7 7 s Digital Clock Digital Clock Analog Clock k Divider 16 bit Divider 16 bit Divider 16 bit e w s Digital Clock Digital Clock Analog Clock k Divider 16 bit Divider 16 bit Divider 16 bit e w Document Number: 001-84932 Rev. ** Page 22 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 6.1.1 Internal Oscillators The central timewheel can be programmed to wake the system periodically and optionally issue an interrupt. This enables 6.1.1.1 Internal Main Oscillator flexible, periodic wakeups from low power modes or coarse In most designs the IMO is the only clock source required, due timing applications. Systems that require accurate timing should to its ±1% accuracy. The IMO operates with no external use the RTC capability instead of the central timewheel. components and outputs a stable clock. A factory trim for each The 100-kHz clock (CLK100K) can be used as a low power frequency range is stored in the device. With the factory trim, system clock to run the CPU. It can also generate time intervals tolerance varies from ±1% at 3 MHz, up to ±7% at 62 MHz. The using the fast timewheel. IMO, in conjunction with the PLL, allows generation of CPU and The fast timewheel is a 5-bit counter, clocked by the 100-kHz system clocks up to the device's maximum frequency (see USB clock. It features programmable settings and automatically Clock Domain on page 24). The IMO provides clock outputs at resets when the terminal count is reached. An optional interrupt 3, 6, 12, 24, 48, and 62 MHz. can be generated each time the terminal count is reached. This 6.1.1.2 Clock Doubler enables flexible, periodic interrupts of the CPU at a higher rate than is allowed using the central timewheel. The clock doubler outputs a clock at twice the frequency of the input clock. The doubler works at input frequency of 24 MHz, The 33-kHz clock (CLK33K) comes from a divide-by-3 operation providing 48 MHz for the USB. It can be configured to use a clock on CLK100K. This output can be used as a reduced accuracy from the IMO, MHzECO, or the DSI (external pin). version of the 32.768-kHz ECO clock with no need for a crystal. 6.1.1.3 Phase-Locked Loop 6.1.2 External Oscillators The PLL allows low frequency, high accuracy clocks to be 6.1.2.1 MHz External Crystal Oscillator multiplied to higher frequencies. This is a tradeoff between higher clock frequency and accuracy and, higher power The MHzECO provides high frequency, high precision clocking consumption and increased startup time. using an external crystal (see Figure6-2). It supports a wide variety of crystal types, in the range of 4 to 25 MHz. When used The PLL block provides a mechanism for generating clock in conjunction with the PLL, it can generate CPU and system frequencies based upon a variety of input sources. The PLL clocks up to the device's maximum frequency (see outputs clock frequencies in the range of 24 to 67 MHz. Its input Phase-Locked Loop on page 23). The GPIO pins connecting to and feedback dividers supply 4032 discrete ratios to create the external crystal and capacitors are fixed. MHzECO accuracy almost any desired system clock frequency. The accuracy of the depends on the crystal chosen. PLL output depends on the accuracy of the PLL input source. The most common PLL use is to multiply the IMO clock at 3 MHz, Figure 6-2. MHzECO Block Diagram where it is most accurate, to generate the CPU and system clocks up to the device’s maximum frequency. The PLL achieves phase lock within 250 µs (verified by bit setting). It can be configured to use a clock from the IMO, XCLK_MHZ 4 - 25 MHz MHzECO, or DSI (external pin). The PLL clock source can be Crystal Osc used until lock is complete and signaled with a lock bit. The lock signal can be routed through the DSI to generate an interrupt. Disable the PLL before entering low power modes. 6.1.1.4 Internal Low-Speed Oscillator The ILO provides clock frequencies for low power consumption, including the watchdog timer, and sleep timer. The ILO generates up to three different clocks: 1 kHz, 33 kHz, and 100kHz. Xi Xo The 1-kHz clock (CLK1K) is typically used for a background (Pin P15[1]) (Pin P15[0]) ‘heartbeat’ timer. This clock inherently lends itself to low power supervisory operations such as the watchdog timer and long 4 – 25 MHz sleep intervals using the central timewheel (CTW). External crystal The central timewheel is a 1 kHz, free running, 13-bit counter Components clocked by the ILO. The central timewheel is always enabled Capacitors except in hibernate mode and when the CPU is stopped during debug on chip mode. It can be used to generate periodic interrupts for timing purposes or to wake the system from a low power mode. Firmware can reset the central timewheel. Document Number: 001-84932 Rev. ** Page 23 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 6.1.2.2 32.768 kHz ECO ■The system clock is used to select and supply the fastest clock in the system for general system clock requirements and clock The 32.768-kHz external crystal oscillator (32kHzECO) provides synchronization of the PSoC device. precision timing with minimal power consumption using an external 32.768-kHz watch crystal (see Figure6-3). The ■Bus clock 16-bit divider uses the system clock to generate the 32kHzECO also connects directly to the sleep timer and provides system’s bus clock used for data transfers and the CPU. The the source for the RTC. The RTC uses a 1 second interrupt to CPU clock is directly derived from the bus clock. implement the RTC functionality in firmware. ■Eight fully programmable 16-bit clock dividers generate digital The oscillator works in two distinct power modes. This allows system clocks for general use in the digital system, as users to trade off power consumption with noise immunity from configured by the design’s requirements. Digital system clocks neighboring circuits. The GPIO pins connected to the external can generate custom clocks derived from any of the seven crystal and capacitors are fixed. clock sources for any purpose. Examples include baud rate Figure 6-3. 32kHzECO Block Diagram generators, accurate PWM periods, and timer clocks, and many others. If more than eight digital clock dividers are required, the UDBs and fixed function timer/counter/PWMs can XCLK32K also generate clocks. 32 kHz Crystal Osc ■Four 16-bit clock dividers generate clocks for the analog system components that require clocking, such as ADCs and mixers. The analog clock dividers include skew control to ensure that critical analog events do not occur simultaneously with digital switching events. This is done to reduce analog system noise. Each clock divider consists of an 8-input multiplexer, a 16-bit Xi Xo (Pin P15[3]) (Pin P15[2]) clock divider (divide by 2 and higher) that generates ~50% duty cycle clocks, system clock resynchronization logic, and deglitch 32 kHz logic. The outputs from each digital clock tree can be routed into External crystal the digital system interconnect and then brought back into the Components clock system as an input, allowing clock chaining of up to 32 bits. Capacitors 6.1.4 USB Clock Domain The USB clock domain is unique in that it operates largely asynchronously from the main clock network. The USB logic It is recommended that the external 32.768-kHz watch crystal contains a synchronous bus interface to the chip, while running have a load capacitance (CL) of 6 pF or 12.5 pF. Check the on an asynchronous clock to process USB data. The USB logic crystal manufacturer's datasheet. The two external capacitors, requires a 48-MHz frequency. This frequency can be generated CL1 and CL2, are typically of the same value, and their total from different sources, including DSI clock at 48 MHz or doubled capacitance, CL1CL2 / (CL1 + CL2), including pin and trace value of 24 MHz from internal oscillator, DSI signal, or crystal capacitance, should equal the crystal CL value. For more infor- oscillator. mation, refer to application note AN54439: PSoC 3 and PSoC 5 External Oscillators. See also pin capacitance specifications in 6.2 Power System the “GPIO” section on page69. The power system consists of separate analog, digital, and I/O 6.1.2.3 Digital System Interconnect supply pins, labeled VDDA, VDDD, and VDDIOX, respectively. It The DSI provides routing for clocks taken from external clock also includes two internal 1.8V regulators that provide the digital oscillators connected to I/O. The oscillators can also be (VCCD) and analog (VCCA) supplies for the internal core logic. generated within the device in the digital system and UDBs. The output pins of the regulators (VCCD and VCCA) and the VDDIO pins must have capacitors connected as shown in While the primary DSI clock input provides access to all clocking Figure6-4. The two V pins must be shorted together, with as resources, up to eight other DSI clocks (internally or externally CCD short a trace as possible, and connected to a 1 µF ±10% X5R generated) may be routed directly to the eight digital clock capacitor. The power system also contains a sleep regulator, an dividers. This is only possible if there are multiple precision clock I2C regulator, and a hibernate regulator. sources. 6.1.3 Clock Distribution All seven clock sources are inputs to the central clock distribution system. The distribution system is designed to create multiple high precision clocks. These clocks are customized for the design’s requirements and eliminate the common problems found with limited resolution prescalers attached to peripherals. The clock distribution system generates several types of clock trees. Document Number: 001-84932 Rev. ** Page 24 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 6-4. PSoC Power System VDDIO2 1µF VDDD VDDIO0 0.1µF 0.1µF 2VDDIO I/O Supply VCCD VSSD VDDD I/O SI2uCpp ly VDDIO0 0.1µF Regulator Sleep Regulator Digital Domain VDDA VDDA VSSB ReDgiguiltaatlo rs RAengaulloagto r VCCA 0.1µF 1µF . VSSA Analog Domain Hibernate Regulator O1 3O VDDI I/O Supply VCCD VSSD VDDD I/O Supply VDDI 0.1µF 0.1µF 0.1µF VDDIO1 VDDD VDDIO3 Note The two V pins must be connected together with as short a trace as possible. A trace under the device is recommended, as CCD shown in Figure2-6. You can power the device in internally regulated mode, where the voltage applied to the V pins is as high as 5.5 V, and the internal DDx regulators provide the core voltages. In this mode, do not apply power to the V pins, and do not tie the V pins to the V CCx DDx CCx pins. You can also power the device in externally regulated mode, that is, by directly powering the V and V pins. In this configuration, CCD CCA the V pins should be shorted to the V pins and the V pin should be shorted to the V pin. The allowed supply range in DDD CCD DDA CCA this configuration is 1.71 V to 1.89 V. After power up in this configuration, the internal regulators are on by default, and should be disabled to reduce power consumption. Document Number: 001-84932 Rev. ** Page 25 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 6.2.1 Power Modes Active is the main processing mode. Its functionality is configurable. Each power controllable subsystem is enabled or PSoC 5LP devices have four different power modes, as shown disabled by using separate power configuration template in Table6-2 and Table6-3. The power modes allow a design to registers. In alternate active mode, fewer subsystems are easily provide required functionality and processing power while enabled, reducing power. In sleep mode most resources are simultaneously minimizing power consumption and maximizing disabled regardless of the template settings. Sleep mode is battery life in low power and portable devices. optimized to provide timed sleep intervals and RTC functionality. PSoC 5LP power modes, in order of decreasing power The lowest power mode is hibernate, which retains register and consumption are: SRAM state, but no clocks, and allows wakeup only from I/O pins. Figure6-5 illustrates the allowable transitions between ■Active power modes. Sleep and hibernate modes should not be entered ■Alternate active until all VDDIO supplies are at valid voltage levels. ■Sleep ■Hibernate Table 6-2. Power Modes Power Modes Description Entry Condition Wakeup Source Active Clocks Regulator Active Primary mode of operation, all Wakeup, reset, Any interrupt Any (program- All regulators available. peripherals available (program- manual register mable) Digital and analog mable) entry regulators can be disabled if external regulation used. Alternate Similar to Active mode, and is Manual register Any interrupt Any (program- All regulators available. Active typically configured to have entry mable) Digital and analog fewer peripherals active to regulators can be disabled reduce power. One possible if external regulation used. configuration is to use the UDBs for processing, with the CPU turned off Sleep All subsystems automatically Manual register Comparator, ILO/kHzECO Both digital and analog disabled entry PICU, I2C, RTC, regulators buzzed. CTW, LVD Digital and analog regulators can be disabled if external regulation used. Hibernate All subsystems automatically Manual register PICU Only hibernate regulator disabled entry active. Lowest power consuming mode with all peripherals and internal regulators disabled, except hibernate regulator is enabled Configuration and memory contents retained Table 6-3. Power Modes Wakeup Time and Power Consumption Sleep Wakeup Current Code Digital Analog Clock Sources Reset Wakeup Sources Modes Time (Typ) Execution Resources Resources Available Sources Active – 3.1 mA[8] Yes All All All – All Alternate – – User All All All – All Active defined <25 µs 2 µA No I2C Comparator ILO/kHzECO Comparator, XRES, LVD, Sleep PICU, I2C, RTC, WDR CTW, LVD Hibernate <125 µs 300 nA No None None None PICU XRES Document Number: 001-84932 Rev. ** Page 26 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 6-5. Power Mode Transitions on the input pins; no GPIO should toggle at a rate greater than 10kHz while in hibernate mode. If pins must be toggled at a high rate while in a low power mode, use sleep mode instead. Active 6.2.1.5 Wakeup Events Wakeup events are configurable and can come from an interrupt or device reset. A wakeup event restores the system to active Manual mode. Firmware enabled interrupt sources include internally generated interrupts, power supervisor, central timewheel, and Sleep Hibernate I/O interrupts. Internal interrupt sources can come from a variety of peripherals, such as analog comparators and UDBs. The central timewheel provides periodic interrupts to allow the system to wake up, poll peripherals, or perform real-time functions. Reset event sources include the external reset I/O pin Alternate (XRES), WDT, and Precision Reset (PRES). Active 6.2.2 Boost Converter Applications that use a supply voltage of less than 1.71V, such as single cell battery supplies, may use the on-chip boost 6.2.1.1 Active Mode converter. The boost converter may also be used in any system Active mode is the primary operating mode of the device. When that requires a higher operating voltage than the supply provides. in active mode, the active configuration template bits control For instance, this includes driving 5.0 V LCD glass in a 3.3V which available resources are enabled or disabled. When a system. The boost converter accepts an input voltage as low as resource is disabled, the digital clocks are gated, analog bias 0.5V. With one low cost inductor it produces a selectable output currents are disabled, and leakage currents are reduced as voltage sourcing enough current to operate the PSoC and other appropriate. User firmware can dynamically control subsystem on-board components. power by setting and clearing bits in the active configuration The boost converter accepts an input voltage VBAT from 0.5V template. The CPU can disable itself, in which case the CPU is to 3.6V, and can start up with VBAT as low as 0.5V. The automatically reenabled at the next wakeup event. converter provides a user configurable output voltage of 1.8 to When a wakeup event occurs, the global mode is always 5.0 V (VBOOST). VBAT is typically less than VBOOST; if VBAT returned to active, and the CPU is automatically enabled, is greater than or equal to VBOOST, then VBOOST will be the regardless of its template settings. Active mode is the default same as VBAT. The block can deliver up to 75 mA (IBOOST) global power mode upon boot. depending on configuration. 6.2.1.2 Alternate Active Mode Four pins are associated with the boost converter: VBAT, VSSB, VBOOST, and Ind. The boosted output voltage is sensed at the Alternate Active mode is very similar to Active mode. In alternate VBOOST pin and must be connected directly to the chip’s supply active mode, fewer subsystems are enabled, to reduce power inputs. An inductor is connected between the VBAT and Ind pins. consumption. One possible configuration is to turn off the CPU You can optimize the inductor value to increase the boost and flash, and run peripherals at full speed. converter efficiency based on input voltage, output voltage, 6.2.1.3 Sleep Mode current and switching frequency. Sleep mode reduces power consumption when a resume time of Figure 6-6. Application for Boost Converter 15 µs is acceptable. The wake time is used to ensure that the regulator outputs are stable enough to directly enter active mode. VDDA VDDD 6.2.1.4 Hibernate Mode VBOOST In hibernate mode nearly all of the internal functions are Schottky diode disabled. Internal voltages are reduced to the minimal level to IND keep vital systems alive. Configuration state is preserved in PSoC hibernate mode and SRAM memory is retained. GPIOs 22 µF 0.1 µF configured as digital outputs maintain their previous values and 10 µH external GPIO pin interrupt settings are preserved. The device can only return from hibernate mode in response to an external I/O interrupt. The resume time from hibernate mode is less than VBAT 100µs. 22 µF VSSA To achieve an extremely low current, the hibernate regulator has VSSB VSSD limited capacity. This limits the frequency of any signal present Note 8. Bus clock off. Execute from CPU instruction buffer at 6 MHz. See Table 11-2 on page63. Document Number: 001-84932 Rev. ** Page 27 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet The switching frequency is set to 400 kHz using an oscillator in Figure 6-7. Resets the boost converter block. The VBOOST is limited to 4 × VBAT. VDDD VDDA The boost converter can be operated in two different modes: active and sleep. Active mode is the normal mode of operation where the boost regulator actively generates a regulated output Power Processor voltage. Voltage Interrupt Level The boost typically draws 250 µA in active mode and 25 µA in Monitors sleep mode. The boost operating modes must be used in conjunction with chip power modes to minimize total power Reset consumption. Table6-4 lists the boost power modes available in Pin different chip power modes. External Reset System Reset Table 6-4. Chip and Boost Power Modes Compatibility Controller Reset Chip Power Modes Boost Power Modes Chip -Active or Boost must be operated in its active Watchdog alternate active mode mode. Timer Chip -Sleep mode Boost can be operated in either active or sleep mode. In boost sleep mode, the chip must wake up periodically for boost active-mode refresh. Software Reset Chip-Hibernate mode Boost can be operated in either active Register or sleep mode. However, it is recommended not to use the boost with chip hibernate mode due to the higher The term system reset indicates that the processor as well as current consumption. In boost sleep analog and digital peripherals and registers are reset. mode, the chip must wake up periodically for boost active-mode A reset status register shows some of the resets or power voltage refresh. monitoring interrupts. The program may examine this register to detect and report certain exception conditions. This register is If the boost converter is not used, tie the VBAT, VSSB, and cleared after a power-on reset. For details see the Technical VBOOST pins to ground and leave the Ind pin unconnected. Reference Manual. 6.3 Reset 6.3.1 Reset Sources CY8C58LP has multiple internal and external reset sources 6.3.1.1 Power Voltage Level Monitors available. The reset sources are: ■IPOR - Initial Power-on-Reset ■Power source monitoring - The analog and digital power At initial power on, IPOR monitors the power voltages V , DDD voltages, VDDA, VDDD, VCCA, and VCCD are monitored in V , V and V . The trip level is not precise. It is set to DDA CCD CCA several different modes during power up, active mode, and approximately 1 volt, which is below the lowest specified sleep mode (buzzing). If any of the voltages goes outside operating voltage but high enough for the internal circuits to be predetermined ranges then a reset is generated. The monitors reset and to hold their reset state. The monitor generates a are programmable to generate an interrupt to the processor reset pulse that is at least 150 ns wide. It may be much wider under certain conditions before reaching the reset thresholds. if one or more of the voltages ramps up slowly. ■External - The device can be reset from an external source by If after the IPOR triggers either V drops back below the DDX pulling the reset pin (XRES) low. The XRES pin includes an trigger point, in a non-monotonic fashion, it must remain below internal pull-up to VDDIO1. VDDD, VDDA, and VDDIO1 must that point for at least 10 µs. The hysteresis of the IPOR trigger all have voltage applied before the part comes out of reset. point is typically 100 mV. ■Watchdog timer - A watchdog timer monitors the execution of After boot, the IPOR circuit is disabled and voltage supervision instructions by the processor. If the watchdog timer is not reset is handed off to the precise low-voltage reset (PRES) circuit. by firmware within a certain period of time, the watchdog timer ■PRES - Precise Low-Voltage Reset generates a reset. This circuit monitors the outputs of the analog and digital ■Software - The device can be reset under program control. internal regulators after power up. The regulator outputs are compared to a precise reference voltage. The response to a PRES trip is identical to an IPOR reset. In normal operating mode, the program cannot disable the digital PRES circuit. The analog regulator can be disabled, which also disables the analog portion of the PRES. The PRES circuit is disabled automatically during sleep and hibernate modes, with one exception: During sleep mode the regulators are periodically activated (buzzed) to provide supervisory Document Number: 001-84932 Rev. ** Page 28 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet services and to reduce wakeup time. At these times the PRES ■WRES - Watchdog Timer Reset circuit is also buzzed to allow periodic voltage monitoring. The watchdog reset detects when the software program is no After PRES has been deasserted, at least 10 µs must elapse longer being executed correctly. To indicate to the watchdog before it can be reasserted. timer that it is running correctly, the program must periodically ■ALVI, DLVI, AHVI - Analog/Digital Low Voltage Interrupt, Analog reset the timer. If the timer is not reset before a user-specified High Voltage Interrupt amount of time, then a reset is generated. Interrupt circuits are available to detect when VDDA and Note IPOR disables the watchdog function. The program must VDDD go outside a voltage range. For AHVI, VDDA is enable the watchdog function at an appropriate point in the compared to a fixed trip level. For ALVI and DLVI, VDDA and code by setting a register bit. When this bit is set, it cannot be VDDD are compared to trip levels that are programmable, as cleared again except by an IPOR power on reset event. listed in Table6-5. ALVI and DLVI can also be configured to generate a device reset instead of an interrupt. 6.4 I/O System and Routing Table 6-5. Analog/Digital Low Voltage Interrupt, Analog High PSoC I/Os are extremely flexible. Every GPIO has analog and Voltage Interrupt digital I/O capability. All I/Os have a large number of drive modes, which are set at POR. PSoC also provides up to four individual Normal Voltage Available Trip I/O voltage domains through the VDDIO pins. Interrupt Supply Range Settings There are two types of I/O pins on every device; those with USB DLVI VDDD 1.71V-5.5V 1.70 V-5.45V in provide a third type. Both general purpose I/O (GPIO) and 250mV increments special I/O (SIO) provide similar digital functionality. The primary ALVI VDDA 1.71V-5.5V 1.70 V-5.45V in differences are their analog capability and drive strength. 250mV increments Devices that include USB also provide two USBIO pins that support specific USB functionality as well as limited GPIO AHVI VDDA 1.71V-5.5V 5.75V capability. All I/O pins are available for use as digital inputs and outputs for The monitors are disabled until after IPOR. During sleep mode both the CPU and digital peripherals. In addition, all I/O pins can these circuits are periodically activated (buzzed). If an interrupt generate an interrupt. The flexible and advanced capabilities of occurs during buzzing then the system first enters its wakeup the PSoC I/O, combined with any signal to any pin routability, sequence. The interrupt is then recognized and may be greatly simplify circuit design and board layout. All GPIO pins can serviced. be used for analog input, CapSense[9], and LCD segment drive, The buzz frequency is adjustable, and should be set to be less while SIO pins are used for voltages in excess of VDDA and for than the minimum time that any voltage is expected to be out programmable output voltages. of range. For details on how to adjust the buzz frequency, see the TRM. ■Features supported by both GPIO and SIO: ❐User programmable port reset state 6.3.1.2 Other Reset Sources ❐Separate I/O supplies and voltages for up to four groups of I/O ■XRES - External Reset ❐Digital peripherals use DSI to connect the pins ❐Input or output or both for CPU and DMA PSoC 5LP has either a single GPIO pin that is configured as an external reset or a dedicated XRES pin. Either the ❐Eight drive modes dedicated XRES pin or the GPIO pin, if configured, holds the ❐Every pin can be an interrupt source configured as rising part in reset while held active (low). The response to an XRES edge, falling edge or both edges. If required, level sensitive interrupts are supported through the DSI is the same as to an IPOR reset. The external reset is active low. It includes an internal pull-up resistor. XRES is active ❐Dedicated port interrupt vector for each port during sleep and hibernate modes. ❐Slew rate controlled digital output drive mode ❐Access port control and configuration registers on either port After XRES has been deasserted, at least 10 µs must elapse basis or pin basis before it can be reasserted. ❐Separate port read (PS) and write (DR) data registers to avoid ■SRES - Software Reset read modify write errors ❐Special functionality on a pin by pin basis A reset can be commanded under program control by setting a bit in the software reset register. This is done either directly ■Additional features only provided on the GPIO pins: by the program or indirectly by DMA access. The response to ❐LCD segment drive on LCD equipped devices a SRES is the same as after an IPOR reset. ❐CapSense[9] Another register bit exists to disable this function. ❐Analog input and output capability ❐Continuous 100 µA clamp current capability ❐Standard drive strength down to 1.71V Note 9. GPIOs with opamp outputs are not recommended for use with CapSense. Document Number: 001-84932 Rev. ** Page 29 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet ■Additional features only provided on SIO pins: ■USBIO features: ❐Higher drive strength than GPIO ❐Full speed USB 2.0 compliant I/O ❐Hot swap capability (5V tolerance at any operating VDD) ❐Highest drive strength for general purpose use ❐Programmable and regulated high input and output drive ❐Input, output, or both for CPU and DMA levels down to 1.2V ❐Input, output, or both for digital peripherals ❐No analog input, CapSense, or LCD capability ❐Digital output (CMOS) drive mode ❐Over voltage tolerance up to 5.5V ❐Each pin can be an interrupt source configured as rising ❐SIO can act as a general purpose analog comparator edge, falling edge, or both edges Figure 6-8. GPIO Block Diagram Digital Input Path Naming Convention PRT[x]CTL ‘x’ = Port Number PRT[x]DBL_SYNC_IN ‘y’ = Pin Number PRT[x]PS Digital System Input PICU[x]INTTYPE[y] PICU[x]INTSTAT Input Buffer Disable Interrupt Pin Interrupt Signal Logic PICU[x]INTSTAT Digital Output Path PRT[x]SLW PRT[x]SYNC_OUT VddioVddio PRT[x]DR 0 Digital System Output In 1 Vddio PRT[x]BYP PRT[x]DM2 Drive Slew PRT[x]DM1 Logic Cntl PIN PRT[x]DM0 Bidirectional Control PRT[x]BIE OE Analog 1 0 1 0 Capsense Global Control 1 CAPS[x]CFG1 Switches PRT[x]AG Analog Global PRT[x]AMUX Analog Mux LCD Display Data PRT[x]LCD_COM_SEG Logic & MUX PRT[x]LCD_EN LCD Bias Bus 5 Document Number: 001-84932 Rev. ** Page 30 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 6-9. SIO Input/Output Block Diagram Digital Input Path Naming Convention PRT[x]SIO_HYST_EN ‘x’ = Port Number PRT[x]SIO_DIFF Buffer ‘y’ = Pin Number Reference Level Thresholds PRT[x]DBL_SYNC_IN PRT[x]PS Digital System Input PICU[x]INTTYPE[y] PICU[x]INTSTAT Input Buffer Disable Interrupt Pin Interrupt Signal Logic PICU[x]INTSTAT Digital Output Path Reference Level PRT[x]SIO_CFG Driver PRT[x]SLW Vhigh PRT[x]SYNC_OUT PRT[x]DR 0 Digital System Output In 1 PRT[x]BYP PRT[x]DM2 Drive PRT[x]DM1 Logic Slew PIN Cntl PRT[x]DM0 Bidirectional Control PRT[x]BIE OE Figure 6-10. USBIO Block Diagram Digital Input Path Naming Convention ‘y’ = Pin Number USB Receiver Circuitry PRT[15]DBL_SYNC_IN PRT[15]PS[6,7] USBIO_CR1[0,1] Digital System Input PICU[15]INTTYPE[y] PICU[15]INTSTAT Interrupt Pin Interrupt Signal Logic PICU[15]INTSTAT Digital Output Path PRT[15]SYNC_OUT USBIO_CR1[5] USB or I/O D+ pin only USBIO_CR1[2] D+ 1.5 k Vddd VdddVddd USB SIE Control for USB Mode Vddd PRT[15]DR1[7,6] 0 Digital System Output 1 In Drive 5 k 1.5 k PRT[15]BYP Logic PIN PRT[15]DM0[6] D+ Open Drain PRT[15]DM0[7] D- Open Drain PRT[15]DM1[6] D+ 5 k PRT[15]DM1[7] D- 5 k Document Number: 001-84932 Rev. ** Page 31 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 6.4.1 Drive Modes if bypass mode is selected. Note that the actual I/O pin voltage is determined by a combination of the selected drive mode and Each GPIO and SIO pin is individually configurable into one of the load at the pin. For example, if a GPIO pin is configured for the eight drive modes listed in Table6-6. Three configuration bits resistive pull-up mode and driven high while the pin is floating, are used for each pin (DM[2:0]) and set in the PRTxDM[2:0] the voltage measured at the pin is a high logic state. If the same registers. Figure6-11 depicts a simplified pin view based on GPIO pin is externally tied to ground then the voltage each of the eight drive modes. Table6-6 shows the I/O pin’s drive unmeasured at the pin is a low logic state. state based on the port data register value or digital array signal Figure 6-11. Drive Mode Vddio Vddio DR Pin DR Pin DR Pin DR Pin PS PS PS PS 0. High Impedance 1. High Impedance 2. Resistive 3. Resistive Analog Digital Pull-Up Pull-Down Vddio Vddio Vddio DR Pin DR Pin DR Pin DR Pin PS PS PS PS 4. Open Drain, 5. Open Drain, 6. Strong Drive 7. Resistive Drives Low Drives High Pull-Up and Pull-Down Table 6-6. Drive Modes Diagram Drive Mode PRTxDM2 PRTxDM1 PRTxDM0 PRTxDR = 1 PRTxDR = 0 0 High impedence analog 0 0 0 High-Z High-Z 1 High Impedance digital 0 0 1 High-Z High-Z 2 Resistive pull-up[10] 0 1 0 Res High (5K) Strong Low 3 Resistive pull-down[10] 0 1 1 Strong High Res Low (5K) 4 Open drain, drives low 1 0 0 High-Z Strong Low 5 Open drain, drive high 1 0 1 Strong High High-Z 6 Strong drive 1 1 0 Strong High Strong Low 7 Resistive pull-up and pull-down[10] 1 1 1 Res High (5K) Res Low (5K) Note 10.Resistive pull-up and pull-down are not available with SIO in regulated output mode. Document Number: 001-84932 Rev. ** Page 32 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet The USBIO pins (P15[7] and P15[6]), when enabled for I/O mode, have limited drive mode control. The drive mode is set using the PRT15.DM0[7, 6] register. A resistive pull option is also available at the USBIO pins, which can be enabled using the PRT15.DM1[7, 6] register. When enabled for USB mode, the drive mode control has no impact on the configuration of the USB pins. Unlike the GPIO and SIO configurations, the port wide configuration registers do not configure the USB drive mode bits. Table6-7 shows the drive mode configuration for the USBIO pins. Table 6-7. USBIO Drive Modes (P15[7] and P15[6]) PRT15.DM1[7,6] PRT15.DM0[7,6] PRT15.DR[7,6] = 1 PRT15.DR[7,6] = 0 Description Pull up enable Drive Mode enable 0 0 High Z Strong Low Open Drain, Strong Low 0 1 Strong High Strong Low Strong Outputs 1 0 Res High (5k) Strong Low Resistive Pull Up, Strong Low 1 1 Strong High Strong Low Strong Outputs ■High impedance analog 6.4.2 Pin Registers The default reset state with both the output driver and digital Registers to configure and interact with pins come in two forms input buffer turned off. This prevents any current from flowing that may be used interchangeably. in the I/O’s digital input buffer due to a floating voltage. This All I/O registers are available in the standard port form, where state is recommended for pins that are floating or that support each bit of the register corresponds to one of the port pins. This an analog voltage. High impedance analog pins do not provide register form is efficient for quickly reconfiguring multiple port digital input functionality. pins at the same time. To achieve the lowest chip current in sleep modes, all I/Os I/O registers are also available in pin form, which combines the must either be configured to the high impedance analog mode, eight most commonly used port register bits into a single register or have their pins driven to a power supply rail by the PSoC for each pin. This enables very fast configuration changes to device or by external circuitry. individual pins with a single register write. ■High impedance digital 6.4.3 Bidirectional Mode The input buffer is enabled for digital signal input. This is the High speed bidirectional capability allows pins to provide both standard high impedance (HiZ) state recommended for digital the high impedance digital drive mode for input signals and a inputs. second user selected drive mode such as strong drive (set using ■Resistive pull-up or resistive pull-down PRTxDM[2:0] registers) for output signals on the same pin, Resistive pull-up or pull-down, respectively, provides a series based on the state of an auxiliary control bus signal. The resistance in one of the data states and strong drive in the bidirectional capability is useful for processor busses and other. Pins can be used for digital input and output in these communications interfaces such as the SPI Slave MISO pin that modes. Interfacing to mechanical switches is a common requires dynamic hardware control of the output buffer. application for these modes. Resistive pull-up and pull-down The auxiliary control bus routes up to 16 UDB or digital peripheral are not available with SIO in regulated output mode. generated output enable signals to one or more pins. ■Open drain, drives high and open drain, drives low 6.4.4 Slew Rate Limited Mode Open drain modes provide high impedance in one of the data states and strong drive in the other. Pins can be used for digital GPIO and SIO pins have fast and slow output slew rate options input and output in these modes. A common application for for strong and open drain drive modes, not resistive drive modes. these modes is driving the I2C bus signal lines. Because it results in reduced EMI, the slow edge rate option is recommended for signals that are not speed critical, generally ■Strong drive less than 1 MHz. The fast slew rate is for signals between 1 MHz Provides a strong CMOS output drive in either high or low and 33 MHz. The slew rate is individually configurable for each state. This is the standard output mode for pins. Strong Drive pin, and is set by the PRTxSLW registers. mode pins must not be used as inputs under normal circumstances. This mode is often used to drive digital output 6.4.5 Pin Interrupts signals or external FETs. All GPIO and SIO pins are able to generate interrupts to the ■Resistive pull-up and pull-down system. All eight pins in each port interface to their own Port Similar to the resistive pull-up and resistive pull-down modes Interrupt Control Unit (PICU) and associated interrupt vector. except the pin is always in series with a resistor. The high data Each pin of the port is independently configurable to detect rising state is pull-up while the low data state is pull-down. This mode edge, falling edge, both edge interrupts, or to not generate an is most often used when other signals that may cause shorts interrupt. can drive the bus. Resistive pull-up and pull-down are not available with SIO in regulated output mode. Document Number: 001-84932 Rev. ** Page 33 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Depending on the configured mode for each pin, each time an Figure 6-12). The “DAC” section on page55 has more details on interrupt event occurs on a pin, its corresponding status bit of the VDAC use and reference routing to the SIO pins. Resistive interrupt status register is set to “1” and an interrupt request is pull-up and pull-down drive modes are not available with SIO in sent to the interrupt controller. Each PICU has its own interrupt regulated output mode. vector in the interrupt controller and the pin status register providing easy determination of the interrupt source down to the 6.4.12 Adjustable Input Level pin level. This section applies only to SIO pins. SIO pins by default support Port pin interrupts remain active in all sleep modes allowing the the standard CMOS and LVTTL input levels but also support a PSoC device to wake from an externally generated interrupt. differential mode with programmable levels. SIO pins are While level sensitive interrupts are not directly supported; grouped into pairs. Each pair shares a reference generator block Universal Digital Blocks (UDB) provide this functionality to the which, is used to set the digital input buffer reference level for system when needed. interface to external signals that differ in voltage from VDDIO. The reference sets the pins voltage threshold for a high logic 6.4.6 Input Buffer Mode level (see Figure 6-12). Available input thresholds are: GPIO and SIO input buffers can be configured at the port level ■0.5 × VDDIO for the default CMOS input thresholds or the optional LVTTL ■0.4 × VDDIO input thresholds. All input buffers incorporate Schmitt triggers for ■0.5 × VREF input hysteresis. Additionally, individual pin input buffers can be ■VREF disabled in any drive mode. Typically a voltage DAC (VDAC) generates the VREF reference. “DAC” section on page55 has more details on VDAC use and 6.4.7 I/O Power Supplies reference routing to the SIO pins. Up to four I/O pin power supplies are provided depending on the device and package. Each I/O supply must be less than or equal Figure 6-12. SIO Reference for Input and Output to the voltage on the chip’s analog (VDDA) pin. This feature Input Path allows users to provide different I/O voltage levels for different pins on the device. Refer to the specific device package pinout to determine VDDIO capability for a given port and pin. The SIO Digital port pins support an additional regulated high output capability, Input as described in Adjustable Output Level. Vinref 6.4.8 Analog Connections These connections apply only to GPIO pins. All GPIO pins may be used as analog inputs or outputs. The analog voltage present on the pin must not exceed the VDDIO supply voltage to which SIO_Ref Reference the GPIO belongs. Each GPIO may connect to one of the analog Generator PIN global busses or to one of the analog mux buses to connect any pin to any internal analog resource such as ADC or comparators. Voutref In addition, select pins provide direct connections to specific Output Path analog features such as the high current DACs or uncommitted Driver Vhigh opamps. 6.4.9 CapSense This section applies only to GPIO pins. All GPIO pins may be used to create CapSense buttons and sliders[11]. See the Digital “CapSense” section on page55 for more information. Drive Output Logic 6.4.10 LCD Segment Drive This section applies only to GPIO pins. All GPIO pins may be used to generate Segment and Common drive signals for direct glass drive of LCD glass. See the “LCD Direct Drive” section on page54 for details. 6.4.13 SIO as Comparator 6.4.11 Adjustable Output Level This section applies only to SIO pins. The adjustable input level feature of the SIOs as explained in the Adjustable Input Level This section applies only to SIO pins. SIO port pins support the section can be used to construct a comparator. The threshold for ability to provide a regulated high output level for interface to the comparator is provided by the SIO's reference generator. The external signals that are lower in voltage than the SIO’s reference generator has the option to set the analog signal respective VDDIO. SIO pins are individually configurable to routed through the analog global line as threshold for the output either the standard VDDIO level or the regulated output, comparator. Note that a pair of SIO pins share the same which is based on an internally generated reference. Typically a threshold. voltage DAC (VDAC) is used to generate the reference (see Note 11.GPIOs with opamp outputs are not recommended for use with CapSense. Document Number: 001-84932 Rev. ** Page 34 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet The digital input path in Figure 6-9 on page 31 illustrates this 6.4.16 Reset Configuration functionality. In the figure, ‘Reference level’ is the analog signal While reset is active all I/Os are reset to and held in the High routed through the analog global. The hysteresis feature can Impedance Analog state. After reset is released, the state can be also be enabled for the input buffer of the SIO, which increases reprogrammed on a port-by-port basis to pull-down or pull-up. To noise immunity for the comparator. ensure correct reset operation, the port reset configuration data is stored in special nonvolatile registers. The stored reset data is 6.4.14 Hot Swap automatically transferred to the port reset configuration registers This section applies only to SIO pins. SIO pins support ‘hot swap’ at reset release. capability to plug into an application without loading the signals that are connected to the SIO pins even when no power is 6.4.17 Low Power Functionality applied to the PSoC device. This allows the unpowered PSoC to In all low power modes the I/O pins retain their state until the part maintain a high impedance load to the external device while also is awakened and changed or reset. To awaken the part, use a preventing the PSoC from being powered through a SIO pin’s pin interrupt, because the port interrupt logic continues to protection diode. function in all low power modes. Powering the device up or down while connected to an operational I2C bus may cause transient states on the SIO pins. 6.4.18 Special Pin Functionality The overall I2C bus design should take this into account. Some pins on the device include additional special functionality in addition to their GPIO or SIO functionality. The specific special 6.4.15 Overvoltage Tolerance function pins are listed in “Pinouts” on page5. The special All I/O pins provide an overvoltage tolerance feature at any features are: operating VDD. ■Digital ■There are no current limitations for the SIO pins as they present ❐4- to 25-MHz crystal oscillator a high impedance load to the external circuit. ❐32.768-kHz crystal oscillator ■The GPIO pins must be limited to 100 µA using a current limiting ❐Wake from sleep on I2C address match. Any pin can be used for I2C if wake from sleep is not required. resistor. GPIO pins clamp the pin voltage to approximately one diode above the VDDIO supply. ❐JTAG interface pins ❐SWD interface pins ■In case of a GPIO pin configured for analog input/output, the ❐SWV interface pins analog voltage on the pin must not exceed the VDDIO supply ❐TRACEPORT interface pins voltage to which the GPIO belongs. ❐External reset A common application for this feature is connection to a bus such as I2C where different devices are running from different supply ■Analog voltages. In the I2C case, the PSoC chip is configured into the ❐Opamp inputs and outputs Open Drain, Drives Low mode for the SIO pin. This allows an ❐High current IDAC outputs external pull-up to pull the I2C bus voltage above the PSoC pin ❐External reference inputs supply. For example, the PSoC chip could operate at 1.8V, and 6.4.19 JTAG Boundary Scan an external device could run from 5V. Note that the SIO pin’s VIH and VIL levels are determined by the associated VDDIO The device supports standard JTAG boundary scan chains on all supply pin. pins for board level test. The SIO pin must be in one of the following modes: 0 (high impedance analog), 1 (high impedance digital), or 4 (open drain drives low). See Figure6-11 for details. Absolute maximum ratings for the device must be observed for all I/O pins. Document Number: 001-84932 Rev. ** Page 35 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 7. Digital Subsystem 7.1 Example Peripherals The flexibility of the CY8C58LP family’s UDBs and analog blocks The digital programmable system creates application specific allow the user to create a wide range of components combinations of both standard and advanced digital peripherals (peripherals). The most common peripherals were built and and custom logic functions. These peripherals and logic are then characterized by Cypress and are shown in the PSoC Creator interconnected to each other and to any pin on the device, component catalog, however, users may also create their own providing a high level of design flexibility and IP security. custom components using PSoC Creator. Using PSoC Creator, The features of the digital programmable system are outlined users may also create their own components for reuse within here to provide an overview of capabilities and architecture. You their organization, for example sensor interfaces, proprietary do not need to interact directly with the programmable digital algorithms, and display interfaces. system at the hardware and register level. PSoC Creator The number of components available through PSoC Creator is provides a high level schematic capture graphical interface to too numerous to list in the datasheet, and the list is always automatically place and route resources similar to PLDs. growing. An example of a component available for use in The main components of the digital programmable system are: CY8C58LP family, but, not explicitly called out in this datasheet is the UART component. ■Universal Digital Blocks (UDB) - These form the core functionality of the digital programmable system. UDBs are a 7.1.1 Example Digital Components collection of uncommitted logic (PLD) and structural logic The following is a sample of the digital components available in (Datapath) optimized to create all common embedded PSoC Creator for the CY8C58LP family. The exact amount of peripherals and customized functionality that are application or hardware resources (UDBs, routing, RAM, flash) used by a design specific. component varies with the features selected in PSoC Creator for ■Universal Digital Block array - UDB blocks are arrayed within the component. a matrix of programmable interconnect. The UDB array ■Communications structure is homogeneous and allows for flexible mapping of ❐I2C digital functions onto the array. The array supports extensive and flexible routing interconnects between UDBs and the ❐UART Digital System Interconnect. ❐SPI ■Functions ■Digital System Interconnect (DSI) - Digital signals from Universal Digital Blocks (UDBs), fixed function peripherals, I/O ❐EMIF pins, interrupts, DMA, and other system core signals are ❐PWMs attached to the Digital System Interconnect to implement full ❐Timers featured device connectivity. The DSI allows any digital function ❐Counters to any pin or other feature routability when used with the ■Logic Universal Digital Block array. ❐NOT Figure 7-1. CY8C58LP Digital Programmable Architecture ❐OR ❐XOR ❐AND Digital Core System 7.1.2 Example Analog Components and Fixed Function Peripherals The following is a sample of the analog components available in O Port O Port PhaSrodCw aCrere raetsoor uforcr etsh e(S CCY/C8CT 5b8loLcPk sfa, mroiluyt.i nTgh,e R eAxMac, tf laamsho)u unst eodf I DSI Routing Interface I by a component varies with the features selected in PSoC Creator for the component. UDB UDB UDB UDB ■Amplifiers UDB UDB UDB UDB ❐TIA DB Array UUDDBB UUDDBB UUDDBB UUDDBB B Array ❐❐PopGaAmp U UD ■ADCs UDB UDB UDB UDB ❐Delta-Sigma UDB UDB UDB UDB ❐Successive Approximation (SAR) DSI Routing Interface ■DACs ort ort ❐Current P P O O ❐Voltage I I Digital Core System ❐PWM and Fixed Function Peripherals ■Comparators ■Mixers Document Number: 001-84932 Rev. ** Page 36 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 7.1.3 Example System Function Components 7.1.4.2 Component Catalog The following is a sample of the system function components The component catalog is a repository of reusable design available in PSoC Creator for the CY8C58LP family. The exact elements that select device functionality and customize your amount of hardware resources (UDBs, DFB taps, SC/CT blocks, PSoC device. It is populated with an impressive selection of routing, RAM, flash) used by a component varies with the content; from simple primitives such as logic gates and device features selected in PSoC Creator for the component. registers, through the digital timers, counters and PWMs, plus analog components such as ADCs, DACs, and filters, and ■CapSense communication protocols, such as I2C, USB and CAN. See ■LCD Drive “Example Peripherals” section on page36 for more details about available peripherals. All content is fully characterized and ■LCD Control carefully documented in datasheets with code examples, AC/DC specifications, and user code ready APIs. ■Filters 7.1.4.3 Design Reuse 7.1.4 Designing with PSoC Creator The symbol editor gives you the ability to develop reusable 7.1.4.1 More Than a Typical IDE components that can significantly reduce future design time. Just A successful design tool allows for the rapid development and draw a symbol and associate that symbol with your proven deployment of both simple and complex designs. It reduces or design. PSoC Creator allows for the placement of the new eliminates any learning curve. It makes the integration of a new symbol anywhere in the component catalog along with the design into the production stream straightforward. content provided by Cypress. You can then reuse your content as many times as you want, and in any number of projects, PSoC Creator is that design tool. without ever having to revisit the details of the implementation. PSoC Creator is a full featured Integrated Development 7.1.4.4 Software Development Environment (IDE) for hardware and software design. It is optimized specifically for PSoC devices and combines a modern, Anchoring the tool is a modern, highly customizable user powerful software development platform with a sophisticated interface. It includes project management and integrated editors graphical design tool. This unique combination of tools makes for C and assembler source code, as well the design entry tools. PSoC Creator the most flexible embedded design platform Project build control leverages compiler technology from top available. commercial vendors such as ARM® Limited, Keil™, and CodeSourcery (GNU). Free versions of Keil C51 and GNU C Graphical design entry simplifies the task of configuring a Compiler (GCC) for ARM, with no restrictions on code size or end particular part. You can select the required functionality from an product distribution, are included with the tool distribution. extensive catalog of components and place it in your design. All Upgrading to more optimizing compilers is a snap with support components are parameterized and have an editor dialog that for the professional Keil C51 product and ARM RealView™ allows you to tailor functionality to your needs. compiler. PSoC Creator automatically configures clocks and routes the I/O to the selected pins and then generates APIs to give the 7.1.4.5 Nonintrusive Debugging application complete control over the hardware. Changing the With JTAG (4-wire) and SWD (2-wire) debug connectivity PSoC device configuration is as simple as adding a new available on all devices, the PSoC Creator debugger offers full component, setting its parameters, and rebuilding the project. control over the target device with minimum intrusion. At any stage of development you are free to change the Breakpoints and code execution commands are all readily hardware configuration and even the target processor. To available from toolbar buttons and an impressive lineup of retarget your application (hardware and software) to new windows—register, locals, watch, call stack, memory and devices, even from 8- to 32-bit families, just select the new peripherals—make for an unparalleled level of visibility into the device and rebuild. system. PSoC Creator contains all the tools necessary to complete a design, and then to maintain and extend that design You also have the ability to change the C compiler and evaluate for years to come. All steps of the design flow are carefully an alternative. Components are designed for portability and are integrated and optimized for ease-of-use and to maximize validated against all devices, from all families, and against all productivity. supported tool chains. Switching compilers is as easy as editing the from the project options and rebuilding the application with no errors from the generated APIs or boot code. Document Number: 001-84932 Rev. ** Page 37 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 7.2 Universal Digital Block 7.2.1 PLD Module The Universal Digital Block (UDB) represents an evolutionary The primary purpose of the PLD blocks is to implement logic step to the next generation of PSoC embedded digital peripheral expressions, state machines, sequencers, look up tables, and functionality. The architecture in first generation PSoC digital decoders. In the simplest use model, consider the PLD blocks as blocks provides coarse programmability in which a few fixed a standalone resource onto which general purpose RTL is functions with a small number of options are available. The new synthesized and mapped. The more common and efficient use UDB architecture is the optimal balance between configuration model is to create digital functions from a combination of PLD granularity and efficient implementation. A cornerstone of this and datapath blocks, where the PLD implements only the approach is to provide the ability to customize the devices digital random logic and state portion of the function while the datapath operation to match application requirements. (ALU) implements the more structured elements. To achieve this, UDBs consist of a combination of uncommitted Figure 7-3. PLD 12C4 Structure logic (PLD), structured logic (Datapath), and a flexible routing T0 T1 T2 T3 T4 T5 T6 T7 scheme to provide interconnect between these elements, I/O P P P P P P P P connections, and other peripherals. UDB functionality ranges IN0 TC TC TC TC TC TC TC TC IN1 TC TC TC TC TC TC TC TC from simple self contained functions that are implemented in one IN2 TC TC TC TC TC TC TC TC UDB, or even a portion of a UDB (unused resources are IN3 TC TC TC TC TC TC TC TC available for other functions), to more complex functions that IN4 TC TC TC TC TC TC TC TC require multiple UDBs. Examples of basic functions are timers, IN5 TC TC TC TC TC TC TC TC AND counters, CRC generators, PWMs, dead band generators, and IN6 TC TC TC TC TC TC TC TC Array communications functions, such as UARTs, SPI, and I2C. Also, IN7 TC TC TC TC TC TC TC TC the PLD blocks and connectivity provide full featured general IN8 TC TC TC TC TC TC TC TC purpose programmable logic within the limits of the available IN9 TC TC TC TC TC TC TC TC resources. IN10 TC TC TC TC TC TC TC TC IN11 TC TC TC TC TC TC TC TC Figure 7-2. UDB Block Diagram SELIN (carry in) PLD Chaining OUT0 MC0 T T T T T T T T PLD PLD OUT1 MC1 T T T T T T T T Clock 12C4 12C4 and Reset (8 PTs) (8 PTs) OUT2 MC2 T T T T T T T T Control OUT3 MC3 T T T T T T T T SELOUT Status and (carry out) OR Control Datapath Array Datapath Chaining One 12C4 PLD block is shown in Figure7-3. This PLD has 12 inputs, which feed across eight product terms. Each product term (AND function) can be from 1 to 12 inputs wide, and in a given product term, the true (T) or complement (C) of each input can Routing Channel be selected. The product terms are summed (OR function) to create the PLD outputs. A sum can be from 1 to 8 product terms wide. The 'C' in 12C4 indicates that the width of the OR gate (in The main component blocks of the UDB are: this case 8) is constant across all outputs (rather than variable ■PLD blocks - There are two small PLDs per UDB. These blocks as in a 22V10 device). This PLA like structure gives maximum take inputs from the routing array and form registered or flexibility and insures that all inputs and outputs are permutable combinational sum-of-products logic. PLDs are used to for ease of allocation by the software tools. There are two 12C4 implement state machines, state bits, and combinational logic PLDs in each UDB. equations. PLD configuration is automatically generated from graphical primitives. 7.2.2 Datapath Module ■Datapath Module - This 8-bit wide datapath contains structured The datapath contains an 8-bit single cycle ALU, with associated logic to implement a dynamically configurable ALU, a variety compare and condition generation logic. This datapath block is of compare configurations and condition generation. This block optimized to implement embedded functions, such as timers, also contains input/output FIFOs, which are the primary parallel counters, integrators, PWMs, PRS, CRC, shifters and dead band data interface between the CPU/DMA system and the UDB. generators, and many others. ■Status and Control Module - The primary role of this block is to provide a way for CPU firmware to interact and synchronize with UDB operation. ■Clock and Reset Module - This block provides the UDB clocks and reset selection and control. Document Number: 001-84932 Rev. ** Page 38 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 7-4. Datapath Top Level PHUB System Bus R/W Access to All Registers F1 FIFOs ProgIrnapmRumot ufartoibnmleg 6MInupxuets Control Store RAM8 Word X 16 Bit Datapath Control Data RDDFe001gisters To/FroDDAAm0101 Conditions: 2 Compares, 2 Zero Detect, 2 Ones Detect Overflow Detect OMuutxTpeous/t6F romOPRrououtgptirunatg mtom able Previous Chaining Next Datapath Datapath A1 Accumulators A0 PI Parallel Input/Output (To/From Programmable Routing) PO ALU Shift Mask 7.2.2.1 Working Registers sequence, and can be routed from any block connected to the UDB routing matrix, most typically PLD logic, I/O pins, or from The datapath contains six primary working registers, which are the outputs of this or other datapath blocks. accessed by CPU firmware or DMA during normal operation. Table 7-1. Working Datapath Registers ALU The ALU performs eight general purpose functions. They are: Name Function Description A0 and A1 Accumulators These are sources and sinks for ■Increment the ALU and also sources for the ■Decrement compares. D0 and D1 Data Registers These are sources for the ALU ■Add and sources for the compares. ■Subtract F0 and F1 FIFOs These are the primary interface ■Logical AND to the system bus. They can be a data source for the data registers ■Logical OR and accumulators or they can capture data from the accumu- ■Logical XOR lators or ALU. Each FIFO is four ■Pass, used to pass a value through the ALU to the shift register, bytes deep. mask, or another UDB register Independent of the ALU operation, these functions are available: 7.2.2.2 Dynamic Datapath Configuration RAM ■Shift left Dynamic configuration is the ability to change the datapath function and internal configuration on a cycle-by-cycle basis, ■Shift right under sequencer control. This is implemented using the 8-word x 16-bit configuration RAM, which stores eight unique 16-bit wide ■Nibble swap configurations. The address input to this RAM controls the ■Bitwise OR mask Document Number: 001-84932 Rev. ** Page 39 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 7.2.2.3 Conditionals 7.2.2.7 Chaining Each datapath has two compares, with bit masking options. The datapath can be configured to chain conditions and signals Compare operands include the two accumulators and the two such as carries and shift data with neighboring datapaths to data registers in a variety of configurations. Other conditions create higher precision arithmetic, shift, CRC/PRS functions. include zero detect, all ones detect, and overflow. These 7.2.2.8 Time Multiplexing conditions are the primary datapath outputs, a selection of which can be driven out to the UDB routing matrix. Conditional In applications that are over sampled, or do not need high clock computation can use the built in chaining to neighboring UDBs rates, the single ALU block in the datapath can be efficiently to operate on wider data widths without the need to use routing shared with two sets of registers and condition generators. Carry resources. and shift out data from the ALU are registered and can be selected as inputs in subsequent cycles. This provides support 7.2.2.4 Variable MSB for 16-bit functions in one (8-bit) datapath. The most significant bit of an arithmetic and shift function can be 7.2.2.9 Datapath I/O programmatically specified. This supports variable width CRC and PRS functions, and in conjunction with ALU output masking, There are six inputs and six outputs that connect the datapath to can implement arbitrary width timers, counters and shift blocks. the routing matrix. Inputs from the routing provide the configuration for the datapath operation to perform in each cycle, 7.2.2.5 Built-in CRC/PRS and the serial data inputs. Inputs can be routed from other UDB The datapath has built in support for single cycle Cyclic blocks, other device peripherals, device I/O pins, and so on. The Redundancy Check (CRC) computation and Pseudo Random outputs to the routing can be selected from the generated Sequence (PRS) generation of arbitrary width and arbitrary conditions, and the serial data outputs. Outputs can be routed to polynomial. CRC/PRS functions longer than 8 bits may be other UDB blocks, device peripherals, interrupt and DMA implemented in conjunction with PLD logic, or built in chaining controller, I/O pins, and so on. may be use to extend the function into neighboring UDBs. 7.2.3 Status and Control Module 7.2.2.6 Input/Output FIFOs The primary purpose of this circuitry is to coordinate CPU Each datapath contains two four-byte deep FIFOs, which can be firmware interaction with internal UDB operation. independently configured as an input buffer (system bus writes to the FIFO, datapath internal reads the FIFO), or an output Figure 7-6. Status and Control Registers buffer (datapath internal writes to the FIFO, the system bus reads System Bus from the FIFO). The FIFOs generate status that are selectable as datapath outputs and can therefore be driven to the routing, to interact with sequencers, interrupts, or DMA. Figure 7-5. Example FIFO Configurations 8-bit Status Register 8-bit Control Register (Read Only) (Write/Read) System Bus System Bus F0 F0 F1 Routing Channel D0/D1 D0 D1 The bits of the control register, which may be written to by the A0/A1/ALU A0/A1/ALU A0/A1/ALU A0 A1 system bus, are used to drive into the routing matrix, and thus provide firmware with the opportunity to control the state of UDB processing. The status register is read-only and it allows internal UDB state to be read out onto the system bus directly from F1 F0 F1 internal routing. This allows firmware to monitor the state of UDB processing. Each bit of these registers has programmable connections to the routing matrix and routing connections are System Bus System Bus made depending on the requirements of the application. TX/RX Dual Capture Dual Buffer 7.2.3.1 Usage Examples As an example of control input, a bit in the control register can be allocated as a function enable bit. There are multiple ways to enable a function. In one method the control bit output would be routed to the clock control block in one or more UDBs and serve as a clock enable for the selected UDB blocks. A status example Document Number: 001-84932 Rev. ** Page 40 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 7.2.3.2 Clock Generation An example of this is the 8-bit Timer in the upper left corner of the array. This function only requires one datapath in the UDB, Each subcomponent block of a UDB including the two PLDs, the and therefore the PLD resources may be allocated to another datapath, and Status and Control, has a clock selection and function. A function such as a Quadrature Decoder may require control block. This promotes a fine granularity with respect to more PLD logic than one UDB can supply and in this case can allocating clocking resources to UDB component blocks and utilize the unused PLD blocks in the 8-bit Timer UDB. allows unused UDB resources to be used by other functions for Programmable resources in the UDB array are generally maximum system efficiency. homogeneous so functions can be mapped to arbitrary 7.3 UDB Array Description boundaries in the array. Figure7-7 shows an example of a 16 UDB array. In addition to Figure 7-8. Function Mapping Example in a Bank of UDBs the array core, there are a DSI routing interfaces at the top and bottom of the array. Other interfaces that are not explicitly shown 8T-imBiet r Quadrature Decoder cer 1P6W-BMit 16-Bit PYRS n include the system interfaces for bus and clock distribution. The ue UDB array includes multiple horizontal and vertical routing UDB UDB eq UDB UDB S channels each comprised of 96 wires. The wire connections to UDBs, at horizontal/vertical intersection and at the DSI interface HV HV HV HV are highly permutable providing efficient automatic routing in A B A B PSoC Creator. Additionally the routing allows wire by wire segmentation along the vertical and horizontal routing to further increase routing flexibility and capability. UDB UDB UDB 8-BitU DB Timer Logic Figure 7-7. Digital System Interface Structure 8-Bit SPI I2C Slave 12-Bit SPI System Connections UDB UDB UDB UDB HV HV HV HV B A B A HV HV HV HV B A B A UDB UDB UDB UDB Logic UDB UDB HV HV HV HV UDB UDB A B A B UART 12-Bit PWM UDB UDB UDB UDB 7.4 DSI Routing Interface Description The DSI routing interface is a continuation of the horizontal and UDB UDB UDB UDB vertical routing channels at the top and bottom of the UDB array core. It provides general purpose programmable routing HV HV HV HV B A B A between device peripherals, including UDBs, I/Os, analog peripherals, interrupts, DMA and fixed function peripherals. UDB UDB UDB UDB Figure7-9 illustrates the concept of the digital system interconnect, which connects the UDB array routing matrix with other device peripherals. Any digital core or fixed function HV HV HV HV peripheral that needs programmable routing is connected to this A B A B interface. Signals in this category include: System Connections ■Interrupt requests from all digital peripherals in the system. ■DMA requests from all digital peripherals in the system. 7.3.1 UDB Array Programmable Resources Figure7-8 shows an example of how functions are mapped into ■Digital peripheral data signals that need flexible routing to I/Os. a bank of 16 UDBs. The primary programmable resources of the ■Digital peripheral data signals that need connections to UDBs. UDB are two PLDs, one datapath and one status/control register. These resources are allocated independently, because they ■Connections to the interrupt and DMA controllers. have independently selectable clocks, and therefore unused ■Connection to I/O pins. blocks are allocated to other unrelated functions. ■Connection to analog system digital signals. Document Number: 001-84932 Rev. ** Page 41 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 7-9. Digital System Interconnect single synchronized (pipelined) and a data input signal has the option to be double synchronized. The synchronization clock is Timer Interrupt DMA IO Port Global the system clock (see Figure6-1). Normally all inputs from pins CAN I2C Counters Controller Controller Pins Clocks are synchronized as this is required if the CPU interacts with the signal or any signal derived from it. Asynchronous inputs have rare uses. An example of this is a feed through of combinational PLD logic from input pins to output pins. Figure 7-11. I/O Pin Synchronization Routing Digital System Routing I/F DO UDB ARRAY DI Digital System Routing I/F Figure 7-12. I/O Pin Output Connectivity 8 IO Data Output Connections from the UDB Array Digital System Interface Delta- Global IO Port SAR SC/CT EMIF Sigma DACS Comparators Clocks Pins ADC Blocks ADC Interrupt and DMA routing is very flexible in the CY8C58LP programmable architecture. In addition to the numerous fixed function peripherals that can generate interrupt requests, any data signal in the UDB array routing can also be used to generate DO DO DO DO DO DO DO DO a request. A single peripheral may generate multiple PIN 0 PIN1 PIN2 PIN3 PIN4 PIN5 PIN6 PIN7 independent interrupt requests simplifying system and firmware design. Figure7-10 shows the structure of the IDMUX (Interrupt/DMA Multiplexer). Port i Figure 7-10. Interrupt and DMA Processing in the IDMUX Interrupt and DMA Processing in IDMUX There are four more DSI connections to a given I/O port to implement dynamic output enable control of pins. This Fixed Function IRQs 0 connectivity gives a range of options, from fully ganged 8-bits controlled by one signal, to up to four individually controlled pins. 1 Interrupt The output enable signal is useful for creating tri-state IRQs Controller bidirectional pins and buses. 2 UDB Array Edge 3 Figure 7-13. I/O Pin Output Enable Connectivity Detect 4 IO Control Signal Connections from DRQs UDB Array Digital System Interface DMA termout (IRQs) 0 Fixed Function DRQs DMA 1 Controller Edge 2 Detect 7.4.1 I/O Port Routing There are a total of 20 DSI routes to a typical 8-bit I/O port, 16 for data and four for drive strength control. OE OE OE OE OE OE OE OE When an I/O pin is connected to the routing, there are two PIN 0 PIN1 PIN2 PIN3 PIN4 PIN5 PIN6 PIN7 primary connections available, an input and an output. In conjunction with drive strength control, this can implement a bidirectional I/O pin. A data output signal has the option to be Port i Document Number: 001-84932 Rev. ** Page 42 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 7.5 CAN reliability at a low cost. Because of its success in automotive applications, CAN is used as a standard communication protocol The CAN peripheral is a fully functional Controller Area Network for motion oriented machine control networks (CANOpen) and (CAN) supporting communication baud rates up to 1 Mbps. The factory automation applications (DeviceNet). The CAN controller CAN controller implements the CAN2.0A and CAN2.0B features allow the efficient implementation of higher level specifications as defined in the Bosch specification and protocols without affecting the performance of the conforms to the ISO-11898-1 standard. The CAN protocol was microcontroller CPU. Full configuration support is provided in originally designed for automotive applications with a focus on a PSoC Creator. high level of fault detection. This ensures high communication Figure 7-14. CAN Bus System Implementation CAN Node 1 CAN Node 2 CAN Node n PSoC CAN Drivers CAN Controller En Tx Rx CAN Transceiver CAN_H CAN_L CAN_H CAN_L CAN_H CAN_L CAN Bus 7.5.1 CAN Features ■Receive path ❐16 receive buffers each with its own message filter ■CAN2.0A/B protocol implementation - ISO 11898 compliant ❐Enhanced hardware message filter implementation that ❐Standard and extended frames with up to 8 bytes of data per covers the ID, IDE and RTR frame ❐DeviceNet addressing support ❐Message filter capabilities ❐Multiple receive buffers linkable to build a larger receive ❐Remote Transmission Request (RTR) support message array ❐Programmable bit rate up to 1 Mbps ❐Automatic transmission request (RTR) response handler ■Listen Only mode ❐Lost received message notification ■SW readable error counter and indicator ■Transmit path ❐Eight transmit buffers ■Sleep mode: Wake the device from sleep with activity on the ❐Programmable transmit priority Rx pin ❐Round robin ■Supports two or three wire interface to external transceiver (Tx, ❐Fixed priority Rx, and Enable). The three-wire interface is compatible with ❐Message transmissions abort capability the Philips PHY; the PHY is not included on-chip. The three wires can be routed to any I/O 7.5.2 Software Tools Support ■Enhanced interrupt controller CAN Controller configuration integrated into PSoC Creator: ❐CAN receive and transmit buffers status ■CAN Configuration walkthrough with bit timing analyzer ❐CAN controller error status including BusOff ■Receive filter setup Document Number: 001-84932 Rev. ** Page 43 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 7-15. CAN Controller Block Diagram TxMessage0 TxReq TxAbort Tx Buffer TxMessage1 Bit Timing Status TxReq TxReq TxAbort Pending Priority Tx TxMessage6 Arbiter Tx CRC TxReq CAN Generator TxInterrupt TxAbort Framer Request (if enabled) TxMessage7 TxReq TxAbort Error Status (cid:0) Error Active (cid:0) Error Passive RTR RxMessages (cid:0) Bus Off 0-15 Tx Error Counter Rx Error Counter Rx Buffer RxMessage0 Acceptance Code 0 Acceptance Mask 0 Status RxMessage RxMessage1 Acceptance Code 1 Acceptance Mask 1 Rx Available Rx RxMessage CAN CRC Check Handler Framer RxMessage14 Acceptance Code 14 Acceptance Mask 14 RxInterrupt Request RxMessage15 Acceptance Code 15 Acceptance Mask 15 (if enabled) WakeUp Error Detection Request CRC Form ErrInterrupt ACK Request Bit Stuffing (if enabled) Bit Error Overload Arbitration 7.6 USB Figure 7-16. USB PSoC includes a dedicated Full-Speed (12 Mbps) USB 2.0 512 X 8 transceiver supporting all four USB transfer types: control, Arbiter SRAM interrupt, bulk, and isochronous. PSoC Creator provides full External 22 Ω configuration support. USB interfaces to hosts through two us D+ Resistors dedicated USBIO pins, which are detailed in the “I/O System and B S I E Routing” section on page29. stem (SerEianl gIninteer)face UI/SOB y USB includes the following features: S D– Interrupts ■Eight unidirectional data endpoints 48 MHz ■One bidirectional control endpoint 0 (EP0) IMO ■Shared 512-byte buffer for the eight data endpoints 7.7 Timers, Counters, and PWMs ■Dedicated 8-byte buffer for EP0 The Timer/Counter/PWM peripheral is a 16-bit dedicated ■Three memory modes peripheral providing three of the most common embedded ❐Manual Memory Management with No DMA Access peripheral features. As almost all embedded systems use some ❐Manual Memory Management with Manual DMA Access combination of timers, counters, and PWMs. Four of them have ❐Automatic Memory Management with Automatic DMA been included on this PSoC device family. Additional and more Access advanced functionality timers, counters, and PWMs can also be instantiated in Universal Digital Blocks (UDBs) as required. ■Internal 3.3V regulator for transceiver PSoC Creator allows you to choose the timer, counter, and PWM ■Internal 48 MHz oscillator that auto locks to USB bus clock, features that you need. The tool set utilizes the most optimal requiring no external crystal for USB (USB equipped parts only) resources available. ■Interrupts on bus and each endpoint event, with device wakeup The Timer/Counter/PWM peripheral can select from multiple clock sources, with input and output signals connected through ■USB Reset, Suspend, and Resume operations the DSI routing. DSI routing allows input and output connections ■Bus powered and self powered modes to any device pin and any internal digital signal accessible Document Number: 001-84932 Rev. ** Page 44 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet through the DSI. Each of the four instances has a compare and generation of framing bits. I2C operates as a slave, a master, output, terminal count output (optional complementary compare or multimaster (Slave and Master). In slave mode, the unit output), and programmable interrupt request line. The always listens for a start condition to begin sending or receiving Timer/Counter/PWMs are configurable as free running, one shot, data. Master mode supplies the ability to generate the Start and or Enable input controlled. The peripheral has timer reset and Stop conditions and initiate transactions. Multimaster mode capture inputs, and a kill input for control of the comparator provides clock synchronization and arbitration to allow multiple outputs. The peripheral supports full 16-bit capture. masters on the same bus. If Master mode is enabled and Slave mode is not enabled, the block does not generate interrupts on Timer/Counter/PWM features include: externally generated Start conditions. I2C interfaces through the DSI routing and allows direct connections to any GPIO or SIO ■16-bit timer/counter/PWM (down count only) pins. ■Selectable clock source I2C provides hardware address detect of a 7-bit address without ■PWM comparator (configurable for LT, LTE, EQ, GTE, GT) CPU intervention. Additionally the device can wake from low power modes on a 7-bit hardware address match. If wakeup ■Period reload on start, reset, and terminal count functionality is required, I2C pin connections are limited to the two special sets of SIO pins. ■Interrupt on terminal count, compare true, or capture ■Dynamic counter reads I2C features include: ■Timer capture mode ■Slave and master, transmitter, and receiver operation ■Count while enable signal is asserted mode ■Byte processing for low CPU overhead ■Free run mode ■Interrupt or polling CPU interface ■One-shot mode (stop at end of period) ■Support for bus speeds up to 1 Mbps ■Complementary PWM outputs with deadband ■7 or 10-bit addressing (10-bit addressing requires firmware support) ■PWM output kill ■SMBus operation (through firmware support - SMBus Figure 7-17. Timer/Counter/PWM supported in hardware in UDBs) Clock IRQ ■7-bit hardware address compare Reset Timer / Counter / Enable TC / Compare! ■Wake from low power modes on address match PWM 16-bit Capture Compare Kill ■Glitch filtering (active and alternate-active modes only) Data transfers follow the format shown in Figure7-18. After the 7.8 I2C START condition (S), a slave address is sent. This address is 7 The I2C peripheral provides a synchronous two wire interface bits long followed by an eighth bit which is a data direction bit designed to interface the PSoC device with a two wire I2C serial (R/W) - a 'zero' indicates a transmission (WRITE), a 'one' communication bus. It is compatible[12] with I2C Standard-mode, indicates a request for data (READ). A data transfer is always Fast-mode, and Fast-mode Plus devices as defined in the NXP terminated by a STOP condition (P) generated by the master. I2C-bus specification and user manual (UM10204). The I2C bus However, if a master still wishes to communicate on the bus, it I/O may be implemented with GPIO or SIO in open-drain modes. can generate a repeated START condition (Sr) and address Additional I2C interfaces can be instantiated using Universal another slave without first generating a STOP condition. Various Digital Blocks (UDBs) in PSoC Creator, as required. combinations of read/write formats are then possible within such a transfer. To eliminate the need for excessive CPU intervention and overhead, I2C specific support is provided for status detection Figure 7-18. I2C Complete Transfer Timing SDA SCL 1 - 7 8 9 1 - 7 8 9 1 - 7 8 9 START STOP ADDRESS R/W ACK DATA ACK DATA ACK Condition Condition Note 12.The I2C peripheral is non-compliant with the NXP I2C specification in the following areas: analog glitch filter, I/O VOL/IOL, I/O hysteresis. The I2C Block has a digital glitch filter (not available in sleep mode). The Fast-mode minimum fall-time specification can be met by setting the I/Os to slow speed mode. See the I/O Electrical Specifications in “Inputs and Outputs” section on page69 for details. Document Number: 001-84932 Rev. ** Page 45 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 7.9 Digital Filter Block 8. Analog Subsystem Some devices in the CY8C58LP family of devices have a The analog programmable system creates application specific dedicated HW accelerator block used for digital filtering. The combinations of both standard and advanced analog signal DFB has a dedicated multiplier and accumulator that calculates processing blocks. These blocks are then interconnected to a 24-bit by 24-bit multiply accumulate in one system clock cycle. each other and also to any pin on the device, providing a high This enables the mapping of a direct form FIR filter that level of design flexibility and IP security. The features of the approaches a computation rate of one FIR tap for each clock analog subsystem are outlined here to provide an overview of cycle. The MCU can implement any of the functions performed capabilities and architecture. by this block, but at a slower rate that consumes significant MCU bandwidth. ■Flexible, configurable analog routing architecture provided by The PSoC Creator interface provides a wizard to implement FIR analog globals, analog mux bus, and analog local buses and IIR digital filters with coefficients for LPF, BPF, HPF, Notch ■High resolution Delta-Sigma ADC and arbitrary shape filters. 64 pairs of data and coefficients are stored. This enables a 64 tap FIR filter or up to 4 16 tap filters of ■Two successive approximation (SAR) ADCs either FIR or IIR formulation. ■Four 8-bit DACs that provide either voltage or current output Figure 7-19. DFB Application Diagram (pwr/gnd not shown) ■Four comparators with optional connection to configurable LUT outputs BUSCLK read_data Data ■Four configurable switched capacitor/continuos time (SC/CT) Source blocks for functions that include opamp, unity gain buffer, write_data (PHUB) programmable gain amplifier, transimpedance amplifier, and System Bus mixer addr Digital Digital Filter Routing Block Data ■Four opamps for internal use and connection to GPIO that can Dest be used as high current output buffers (PHUB) ■CapSense subsystem to enable capacitive touch sensing DMA Request ■Precision reference for generating an accurate analog voltage DMA CTRL for internal analog blocks The typical use model is for data to be supplied to the DFB over the system bus from another on-chip system data source such as an ADC. The data typically passes through main memory or is directly transferred from another chip resource through DMA. The DFB processes this data and passes the result to another on chip resource such as a DAC or main memory through DMA on the system bus. Data movement in or out of the DFB is typically controlled by the system DMA controller but can be moved directly by the MCU. Document Number: 001-84932 Rev. ** Page 46 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 8-1. Analog Subsystem Block Diagram SAR SAR ADC ADC DAC DAC A A NAL DAC ADCDelSig RPerefecriseinocne NAL O DAC O G G SC/CT Block SC/CT Block GPIO R R GPIO Port OUT OpAmp SC/CT Block SC/CT Block AmpOp OUT Port I I N N G OpAmp Comparators AmpOp G CMP CMP CMP CMP CapSense Subsystem Analog Config & Status Interface PHUB CPU Registers DSI Clock Decimator Array Distribution The PSoC Creator software program provides a user friendly interface to configure the analog connections between the GPIO and various analog resources and also connections from one analog resource to another. PSoC Creator also provides component libraries that allow you to configure the various analog blocks to perform application specific functions (PGA, transimpedance amplifier, voltage DAC, current DAC, and so on). The tool also generates API interface libraries that allow you to write firmware that allows the communication between the analog peripheral and CPU/Memory. Document Number: 001-84932 Rev. ** Page 47 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 8.1 Analog Routing 8.1.2 Functional Description The PSoC 5LP family of devices has a flexible analog routing Analog globals (AGs) and analog mux buses (AMUXBUS) architecture that provides the capability to connect GPIOs and provide analog connectivity between GPIOs and the various different analog blocks, and also route signals between different analog blocks. There are 16 AGs in the PSoC 5LP family. The analog blocks. One of the strong points of this flexible routing analog routing architecture is divided into four quadrants as architecture is that it allows dynamic routing of input and output shown in Figure8-2. Each quadrant has four analog globals connections to the different analog blocks. (AGL[0..3], AGL[4..7], AGR[0..3], AGR[4..7]). Each GPIO is connected to the corresponding AG through an analog switch. For information on how to make pin selections for optimal analog The analog mux bus is a shared routing resource that connects routing, refer to the application note, AN58304 - PSoC® 3 and PSoC® 5 - Pin Selection for Analog Designs. to every GPIO through an analog switch. There are two AMUXBUS routes in PSoC 5LP, one in the left half (AMUXBUSL) and one in the right half (AMUXBUSR), as shown in Figure8-2. 8.1.1 Features Analog local buses (abus) are routing resources located within ■Flexible, configurable analog routing architecture the analog subsystem and are used to route signals between ■16 analog globals (AG) and two analog mux buses different analog blocks. There are eight abus routes in PSoC (AMUXBUS) to connect GPIOs and the analog blocks 5LP, four in the left half (abusl [0:3]) and four in the right half (abusr [0:3]) as shown in Figure8-2. Using the abus saves the ■Each GPIO is connected to one analog global and one analog analog globals and analog mux buses from being used for mux bus interconnecting the analog blocks. ■Eight analog local buses (abus) to route signals between the Multiplexers and switches exist on the various buses to direct different analog blocks signals into and out of the analog blocks. A multiplexer can have only one connection on at a time, whereas a switch can have ■Multiplexers and switches for input and output selection of the multiple connections on simultaneously. In Figure8-2, analog blocks multiplexers are indicated by grayed ovals and switches are indicated by transparent ovals. Document Number: 001-84932 Rev. ** Page 48 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 8-2. CY8C58LP Analog Interconnect V V V V d s c s d s c s a* a* a* d* Vddio0*swP0[3]inn*GPIOswP0[2]inp*GPIOP0[1]*GPIOP0[0]*GPIOP4[1]GPIOP4[0]GPIOP12[3]SIOP12[2]SIO AMUXBUSL AMUXBUSRP15[3]*GPIOP15[2]*GPIOP12[1]*SIOP12[0]*SIOP3[7]GPIOP3[6]GPIO Vddio3* AGL[4] AGR[4] AGL[5] AGR[5] AGL[6] AGR[6] USL AGL[7] AGR[7] GPIO swAMUXBinpAGL[4]AGL[5]AGL[6]AGL[7] EExxVVrreeffLL1 opamp0 opamp2 01234Ex5V6re7fL20123 3210 76543210 opamp3 opamp1 sswwiinnpn GP3P[I5O] P0[4*] swfol swfol swfol swfol GPIO GP0P[I5O*] swinn swinp GP3P[I4O] GGP0PP[II6OO] * i0 ab(u1f._0v2r4eVf_)int swout swin ionu0t0 LPF oiunt11 swin swout ab(u1f._0v2r4eVf_)int ExVrefR swinn GPP33P[[I32O]] P0[7]* i2 +- comp0 comp1 +- i3 GP3P[I1O] GGGPP44PPP[[III32OOO]] VbrgdeV_ccfrdvmb(demd1aufpdbafv./p__ua_02(rmv0fr0e_2reue_v.sf4x2fr_v_1evV5e rcfn(2en)61m[1 .f(V0:1 p02.)]214VV )) cmprefbufl_1_cmpvrefcmp1_vref bg_vda_swabusl0 +-orCeuftcOoCmMpA2PPASRENATScoOmEpR3oreuft+- cmp1_vref refbufr_cmp rreecffbb(mu1uff_.p_0vv0r2re_e4ff2v1V r ((1e)1..f20 V2)4V) i1 **PPGGGP11PP3P55[XXI[[0O01TT]]] in refbufl refbufr in GPP44P[[I45O]] refsel[1:0]vssa Vscin0 sVcin1 Vssa refsel[1:0] AGR[7]AGR[6]AGR[5]AGR[4]XBUSR GGP4PP[II6OO] ss((cc1102..00__22bb44ggVVrree))ff VoVurinetf SC/CT VVorieunft s(c1s3(.c01_1.2b0_4g2bVr4ge)Vrf e)f AMU *Vccd PV4c[c7d]* oVscure2tf Vsocreu3ft **VVdsdsdd * Vssd ABUSL0 ABUSR0 ABUSL1 ABUSR1 ABUSL2 ABUSR2 ABUSL3 ABUSR3 * Vddd GPIO vi00DAC0VIDACDAC1vi11 *UPS1B5[ 7IO] P6[0] USB IO GP6P[I1O] vi22DAC2 DAC3vi33 *PG1P5IO[6] GPIO dac_vref (0.256V) P5[7] P6[2] vcmsel[1:0] +DSM0 DSM GPIO GP6P[I3O] ddssmm00__vvccmm__vvrreeff12v s((00s..d87VV)) vssa v-cm refs GP5P[I6O] GPIO qtz_ref P5[5] P15[4] dsdmsm0_0q_tzq_tzv_rverf1e f(21 (.012.24VV)) vref_vss_ext GPIO PGGP12PP5[II0[OO5]] VVddddaa//34 refmux[2:0] VVVpnr e((+-f)h)iES_oxAuVRtr0efL SEAVxRVrer1feh(f(i+R_-))o VVutnp PPSS152II[OO4[7]] GP2P[I1O] SASRA_Rvr_evfr1e f(21 .(012.42VV)) refsSAR ADCrefs SSAARR__vvrreeff21 ((11..20V24)V) PG1P2I[O6] GPIO VVddddaa/2 ExVrefL1 ExVrefL2 VVddddaa/2 *P1[7] GP2P[I2O] en_resvda AreMfmuUx[2X:0]BUSL01234567 0123 3210 76543210 AMUXrefBmuUx[2S:0]Ren_resvda *GP1P[I6O] P2[3]* ANALOG ANALOG ANALOG ANALOG GPIO GLOBALS BUS BUS GLOBALS VPd2d[i4o]2**MUXBUSLAGL[0]AGL[1]AGL[2]AGL[3] : ATDSC VVssB Eref LPF AGL[3] AGR[3] AGR[3]AGR[2]AGR[1]AGR[0]AMUXBUSR A AGL[2] AGR[2] AGL[1] AGR[1] AGL[0] AGR[0] AMUXBUSL AMUXBUSR * * * * * SCwMoinutcnxh eG cGtrirooounupp GPIO*P2[5]GPIO*P2[6]GPIO*P2[7]SIOP12[4]SIOP12[5]GPIOP6[4]GPIOP6[5]GPIOP6[6]GPIOP6[7] GPIOP5[0]GPIOP5[1]GPIOP5[2]GPIOP5[3]GPIOP1[0]GPIOP1[1]GPIOP1[2]GPIOP1[3]GPIO*P1[4]GPIO*P1[5] Vddio1 Switch Resistance * * * * * Notes: SLmaraglel (( ~~827000 OOhhmmss )) Ind Vssb Vbat Vboost Vssd XRES * DLCenDo steigsn pailnss a orne nalol tp sahcokwagne.s Rev #60 10-Feb-2012 To preserve detail of this figure, this figure is best viewed with a PDF display program or printed on a 11” × 17” paper. Document Number: 001-84932 Rev. ** Page 49 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 8.2 Delta-sigma ADC Figure 8-4. Delta-sigma ADC Block Diagram The CY8C58LP device contains one delta-sigma ADC. This ADC offers differential input, high resolution and excellent Positive Input Mux linearity, making it a good ADC choice for both audio signal Delta Input 12 to 20 Bit processing and measurement applications. The converter's (Analog Routing) Buffer Sigma Decimator Result Modulator nominal operation is 16 bits at 48 ksps. The ADC can be Negative EOC configured to output 20-bit resolution at data rates of up to 187 Input Mux sps. At a fixed clock rate, resolution can be traded for faster data rates as shown in Table8-1 and Figure8-3. SOC Table 8-1. Delta-sigma ADC Performance Resolution and sample rate are controlled by the Decimator. Bits Maximum Sample Rate SINAD (dB) Data is pipelined in the decimator; the output is a function of the (sps) last four samples. When the input multiplexer is switched, the 20 187 – output data is not valid until after the fourth sample after the switch. 16 48 k 84 12 192 k 66 8.2.2 Operational Modes 8 384 k 43 The ADC can be configured by the user to operate in one of four modes: Single Sample, Multi Sample, Continuous, or Multi Sample (Turbo). All four modes are started by either a write to Figure 8-3. Delta-sigma ADC Sample Rates, Range = ±1.024V the start bit in a control register or an assertion of the Start of Conversion (SoC) signal. When the conversion is complete, a 1000000 status bit is set and the output signal End of Conversion (EoC) asserts high and remains high until the value is read by either the DMA controller or the CPU. 100000 8.2.2.1 Single Sample Rate, sps 101000000 Icno nSveinrsgiloen Sona ma ptrleig gmero. dIne ,t hitsh em oAdDe,C t hep eArDfoCrm ssta yosn ien sstaanmdpbley e ple state waiting for the SoC signal to be asserted. When SoC is Sam 100 sfiirgsnt athlerede t hceo nAvDerCs iopnesrf oprrmimse fothuer dsuecccimesastoivr.e Tchoen vAeDrsCio rness. uTlth ies valid and available after the fourth conversion, at which time the 10 EoC signal is generated. To detect the end of conversion, the system may poll a control register for status or configure the 1 external EoC signal to generate an interrupt or invoke a DMA 6 8 10 12 14 16 18 20 22 request. When the transfer is done the ADC reenters the standby Resolution, bits state where it stays until another SoC event. Continuous Multi-Sample Multi-SampleTurbo 8.2.2.2 Continuous Continuous sample mode is used to take multiple successive 8.2.1 Functional Description samples of a single input signal. Multiplexing multiple inputs The ADC connects and configures three basic components, should not be done with this mode. There is a latency of three input buffer, delta-sigma modulator, and decimator. The basic conversion times before the first conversion result is available. block diagram is shown in Figure8-4. The signal from the input This is the time required to prime the decimator. After the first muxes is delivered to the delta-sigma modulator either directly or result, successive conversions are available at the selected through the input buffer. The delta-sigma modulator performs the sample rate. actual analog to digital conversion. The modulator over-samples 8.2.2.3 Multi Sample the input and generates a serial data stream output. This high speed data stream is not useful for most applications without Multi sample mode is similar to continuous mode except that the some type of post processing, and so is passed to the decimator ADC is reset between samples. This mode is useful when the through the Analog Interface block. The decimator converts the input is switched between multiple signals. The decimator is high speed serial data stream into parallel ADC results. The re-primed between each sample so that previous samples do not modulator/decimator frequency response is [(sin x)/x]4. affect the current conversion. Upon completion of a sample, the next sample is automatically initiated. The results can be transferred using either firmware polling, interrupt, or DMA. 8.2.2.4 Multi Sample (Turbo) The multi sample (turbo) mode operates identical to the Multi-sample mode for resolutions of 8 to 16 bits. For resolutions of 17 to 20 bits, the performance is about four times faster than Document Number: 001-84932 Rev. ** Page 50 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet the multi sample mode, because the ADC is only reset once at The input is connected to the analog globals and muxes. The the end of conversion. frequency of the clock is 18 times the sample rate; the clock rate ranges from 1 to 18 MHz. More information on output formats is provided in the Technical Reference Manual. 8.3.2 Conversion Signals 8.2.3 Start of Conversion Input Writing a start bit or assertion of a start of frame (SOF) signal is used to start a conversion. SOF can be used in applications The SoC signal is used to start an ADC conversion. A digital where the sampling period is longer than the conversion time, or clock or UDB output can be used to drive this input. It can be when the ADC needs to be synchronized to other hardware. This used when the sampling period must be longer than the ADC signal is optional and does not need to be connected if the SAR conversion time or when the ADC must be synchronized to other ADC is running in a continuous mode. A digital clock or UDB hardware. This signal is optional and does not need to be output can be used to drive this input. When the SAR is first connected if ADC is running in a continuous mode. powered up or awakened from any of the sleeping modes, there 8.2.4 End of Conversion Output is a power up wait time of 10 µs before it is ready to start the first conversion. The EoC signal goes high at the end of each ADC conversion. This signal may be used to trigger either an interrupt or DMA When the conversion is complete, a status bit is set and the request. output signal end of frame (EOF) asserts and remains asserted until the value is read by either the DMA controller or the CPU. 8.3 Successive Approximation ADC The EOF signal may be used to trigger an interrupt or a DMA request. The CY8C58LP family of devices has two Successive Approximation (SAR) ADCs. These ADCs are 12-bit at up to 1 8.3.3 Operational Modes Msps, with single-ended or differential inputs, making them A ONE_SHOT control bit is used to set the SAR ADC conversion useful for a wide variety of sampling and control applications. mode to either continuous or one conversion per SOF signal. 8.3.1 Functional Description DMA transfer of continuous samples, without CPU intervention, is supported. In a SAR ADC an analog input signal is sampled and compared with the output of a DAC. A binary search algorithm is applied to 8.4 Comparators the DAC and used to determine the output bits in succession from MSB to LSB. A block diagram of one SAR ADC is shown in The CY8C58LP family of devices contains four comparators. Figure8-5. Comparators have these features: Figure 8-5. SAR ADC Block Diagram ■Input offset factory trimmed to less than 5 mV ■Rail-to-rail common mode input range (V to V ) SSA DDA vin S/H vrefp aDrAraCy comparator dSigAiRtal D0:D11 ■Smpoedeeds :a fnads tp, oswloewr, coar nu lbtrea tlroawde pdo owfef rby using one of three vrefn 1 ■Comparator outputs can be routed to look up tables to perform 1 D0:D auretoszeetro sbilmocpkles logic functions and then can also be routed to digital clock ■The positive input of the comparators may be optionally passed clock through a low pass filter. Two filters are provided POWER power vrefp GROUND filtering vrefn ■Comparator inputs can be connections to GPIO, DAC outputs and SC block outputs 8.4.1 Input and Output Interface The positive and negative inputs to the comparators come from the analog global buses, the analog mux line, the analog local bus and precision reference through multiplexers. The output from each comparator could be routed to any of the two input LUTs. The output of that LUT is routed to the UDB DSI. Document Number: 001-84932 Rev. ** Page 51 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 8-6. Analog Comparator ANAIF From + Analog comp0 + From _ Routing comp1 Analog _ Routing + From comp3 Analog From + _ Routing Analog comp2 _ Routing 4 4 4 4 4 4 4 4 LUT0 LUT1 LUT2 LUT3 UDBs 8.4.2 LUT Table 8-2. LUT Function vs. Program Word and Inputs The CY8C58LP family of devices contains four LUTs. The LUT Control Word Output (A and B are LUT inputs) is a two input, one output lookup table that is driven by any one 0000b FALSE (‘0’) or two of the comparators in the chip. The output of any LUT is routed to the digital system interface of the UDB array. From the 0001b A AND B digital system interface of the UDB array, these signals can be 0010b A AND (NOT B) connected to UDBs, DMA controller, I/O, or the interrupt 0011b A controller. 0100b (NOT A) AND B The LUT control word written to a register sets the logic function 0101b B on the output. The available LUT functions and the associated control word is shown in Table8-2. 0110b A XOR B 0111b A OR B 1000b A NOR B 1001b A XNOR B 1010b NOT B 1011b A OR (NOT B) 1100b NOT A 1101b (NOT A) OR B 1110b A NAND B 1111b TRUE (‘1’) Document Number: 001-84932 Rev. ** Page 52 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 8.5 Opamps 8.6 Programmable SC/CT Blocks The CY8C58LP family of devices contain four general purpose The CY8C58LP family of devices contains four switched opamps. capacitor/continuous time (SC/CT) blocks. Each switched capacitor/continuous time block is built around a single rail-to-rail Figure 8-7. Opamp high bandwidth opamp. Switched capacitor is a circuit design technique that uses GPIO capacitors plus switches instead of resistors to create analog Analog functions. These circuits work by moving charge between Global Bus capacitors by opening and closing different switches. Analog Opamp GPIO Nonoverlapping in phase clock signals control the switches, so Global Bus that not all switches are ON simultaneously. VREF The PSoC Creator tool offers a user friendly interface, which Analog allows you to easily program the SC/CT blocks. Switch control Internal Bus and clock phase control configuration is done by PSoC Creator = Analog Switch so users only need to determine the application use parameters GPIO such as gain, amplifier polarity, V connection, and so on. REF The opamp is uncommitted and can be configured as a gain The same opamps and block interfaces are also connectable to stage or voltage follower on external or internal signals. an array of resistors which allows the construction of a variety of See Figure8-8. In any configuration, the input and output signals continuous time functions. can all be connected to the internal global signals and monitored The opamp and resistor array is programmable to perform with an ADC, or comparator. The configurations are various analog functions including implemented with switches between the signals and GPIO pins. ■Naked Operational Amplifier - Continuous Mode Figure 8-8. Opamp Configurations ■Unity-Gain Buffer - Continuous Mode a) Voltage Follower ■Programmable Gain Amplifier (PGA) - Continuous Mode ■Transimpedance Amplifier (TIA) - Continuous Mode Opamp Vout to Pin ■Up/Down Mixer - Continuous Mode Vin ■Sample and Hold Mixer (NRZ S/H) - Switched Cap Mode ■First Order Analog to Digital Modulator - Switched Cap Mode b) External Uncommitted 8.6.1 Naked Opamp Opamp The Naked Opamp presents both inputs and the output for connection to internal or external signals. The opamp has a unity gain bandwidth greater than 6.0 MHz and output drive current up Opamp Vout to GPIO to 650 µA. This is sufficient for buffering internal signals (such as DAC outputs) and driving external loads greater than 7.5 kohms. Vp to GPIO 8.6.2 Unity Gain Vn to GPIO The Unity Gain buffer is a Naked Opamp with the output directly connected to the inverting input for a gain of 1.00. It has a -3 dB c) Internal Uncommitted bandwidth greater than 6.0 MHz. Opamp 8.6.3 PGA The PGA amplifies an external or internal signal. The PGA can Vn be configured to operate in inverting mode or noninverting mode. To Internal Signals The PGA function may be configured for both positive and Opamp Vout to Pin negative gains as high as 50 and 49 respectively. The gain is Vp adjusted by changing the values of R1 and R2 as illustrated in GPIO Pin Figure8-9. The schematic in Figure8-9 shows the configuration and possible resistor settings for the PGA. The gain is switched The opamp has three speed modes, slow, medium, and fast. The from inverting and non inverting by changing the shared select slow mode consumes the least amount of quiescent power and value of the both the input muxes. The bandwidth for each gain the fast mode consumes the most power. The inputs are able to case is listed in Table8-3. swing rail-to-rail. The output swing is capable of rail-to-rail operation at low current output, within 50 mV of the rails. When driving high current loads (about 25 mA) the output voltage may only get within 500 mV of the rails. Document Number: 001-84932 Rev. ** Page 53 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet The TIA configuration is used for applications where an external Table 8-3. Bandwidth sensor's output is current as a function of some type of stimulus such as temperature, light, magnetic flux etc. In a common Gain Bandwidth application, the voltage DAC output can be connected to the 1 6.0 MHz V TIA input to allow calibration of the external sensor bias REF 24 340 kHz current by adjusting the voltage DAC output voltage. 48 220 kHz 8.7 LCD Direct Drive 50 215 kHz The PSoC Liquid Crystal Display (LCD) driver system is a highly Figure 8-9. PGA Resistor Settings configurable peripheral designed to allow PSoC to directly drive a broad range of LCD glass. All voltages are generated on chip, R1 R2 Vin 0 eliminating the need for external components. With a high multiplex ratio of up to 1/16, the CY8C58LP family LCD driver Vref 1 20 k or 40 k 20 k to 980 k system can drive a maximum of 736 segments. The PSoC LCD driver module was also designed with the conservative power S budget of portable devices in mind, enabling different LCD drive modes and power down modes to conserve power. Vref 0 PSoC Creator provides an LCD segment drive component. The V 1 component wizard provides easy and flexible configuration of in LCD resources. You can specify pins for segments and The PGA is used in applications where the input signal may not commons along with other options. The software configures the be large enough to achieve the desired resolution in the ADC, or device to meet the required specifications. This is possible dynamic range of another SC/CT block such as a mixer. The gain because of the programmability inherent to PSoC devices. is adjustable at runtime, including changing the gain of the PGA Key features of the PSoC LCD segment system are: prior to each ADC sample. ■LCD panel direct driving 8.6.4 TIA ■Type A (standard) and Type B (low power) waveform support The Transimpedance Amplifier (TIA) converts an internal or ■Wide operating voltage range support (2V to 5V) for LCD external current to an output voltage. The TIA uses an internal panels feedback resistor in a continuous time configuration to convert ■Static, 1/2, 1/3, 1/4, 1/5 bias voltage levels input current to output voltage.For an input current Iin, the output ■Internal bias voltage generation through internal resistor ladder voltage is V - I x R , where V is the value placed on the REF in fb REF ■Up to 62 total common and segment outputs non inverting input. The feedback resistor Rfb is programmable ■Up to 1/16 multiplex for a maximum of 16 backplane/common between 20 KΩ and 1MΩ through a configuration register. outputs Table8-4 shows the possible values of Rfb and associated configuration settings. ■Up to 62 front plane/segment outputs for direct drive ■Drives up to 736 total segments (16 backplane x 46 front plane) Table 8-4. Feedback Resistor Settings ■Up to 64 levels of software controlled contrast Configuration Word Nominal R (KΩ) ■Ability to move display data from memory buffer to LCD driver fb 000b 20 through DMA (without CPU intervention) 001b 30 ■Adjustable LCD refresh rate from 10 Hz to 150 Hz 010b 40 ■Ability to invert LCD display for negative image 011b 60 ■Three LCD driver drive modes, allowing power optimization 100b 120 Figure 8-11. LCD System 101b 250 LCD Global 110b 500 DAC Clock 111b 1000 UDB Figure 8-10. Continuous Time TIA Schematic PIN LCD Driver Rfb Block Display DMA RAM Iin Vout Vref PHUB Document Number: 001-84932 Rev. ** Page 54 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 8.7.1 LCD Segment Pin Driver uses a configuration of system resources, including a few hardware functions primarily targeted for CapSense. Specific Each GPIO pin contains an LCD driver circuit. The LCD driver resource usage is detailed in the CapSense component in PSoC buffers the appropriate output of the LCD DAC to directly drive Creator. the glass of the LCD. A register setting determines whether the pin is a common or segment. The pin’s LCD driver then selects A capacitive sensing method using a Delta-Sigma Modulator one of the six bias voltages to drive the I/O pin, as appropriate (CSD) is used. It provides capacitance sensing using a switched for the display data. capacitor technique with a delta-sigma modulator to convert the sensing current to a digital code. 8.7.2 Display Data Flow 8.9 Temp Sensor The LCD segment driver system reads display data and generates the proper output voltages to the LCD glass to Die temperature is used to establish programming parameters produce the desired image. Display data resides in a memory for writing flash. Die temperature is measured using a dedicated buffer in the system SRAM. Each time you need to change the sensor based on a forward biased transistor. The temperature common and segment driver voltages, the next set of pixel data sensor has its own auxiliary ADC. moves from the memory buffer into the Port Data Registers via DMA. 8.10 DAC The CY8C58LP parts contain four Digital to Analog Convertors 8.7.3 UDB and LCD Segment Control (DACs). Each DAC is 8-bit and can be configured for either A UDB is configured to generate the global LCD control signals voltage or current output. The DACs support CapSense, power and clocking. This set of signals is routed to each LCD pin driver supply regulation, and waveform generation. Each DAC has the through a set of dedicated LCD global routing channels. In following features. addition to generating the global LCD control signals, the UDB also produces a DMA request to initiate the transfer of the next ■Adjustable voltage or current output in 255 steps frame of LCD data. ■Programmable step size (range selection) 8.7.4 LCD DAC ■Eight bits of calibration to correct ± 25% of gain error The LCD DAC generates the contrast control and bias voltage ■Source and sink option for current output for the LCD system. The LCD DAC produces up to five LCD drive voltages plus ground, based on the selected bias ratio. The bias ■8 Msps conversion rate for current output voltages are driven out to GPIO pins on a dedicated LCD bias ■1 Msps conversion rate for voltage output bus, as required. ■Monotonic in nature 8.8 CapSense ■Data and strobe inputs can be provided by the CPU or DMA, The CapSense system provides a versatile and efficient means or routed directly from the DSI for measuring capacitance in applications such as touch sense buttons, sliders, proximity detection, etc. The CapSense system ■Dedicated low-resistance output pin for high-current mode Figure 8-12. DAC Block Diagram Isource Range  1x, 8x, 64x Vout  Reference  Scaler   Iout  R  Source        3R    Isink  Range     1x, 8x, 64x  8.10.1 Current DAC 8.10.2 Voltage DAC The current DAC (IDAC) can be configured for the ranges 0 to For the voltage DAC (VDAC), the current DAC output is routed 31.875µA, 0 to 255 µA, and 0 to 2.04 mA. The IDAC can be through resistors. The two ranges available for the VDAC are 0 configured to source or sink current. to 1.02V and 0 to 4.08V. In voltage mode any load connected to the output of a DAC should be purely capacitive (the output of the VDAC is not buffered). Document Number: 001-84932 Rev. ** Page 55 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 8.11 Up/Down Mixer Figure 8-14. Sample and Hold Topology (Φ1 and Φ2 are opposite phases of a clock) In continuous time mode, the SC/CT block components are used tinop buut ilds iganna ul pf roerq duoewnnc ym iaxnedr. Aan ylo mcaixl inogs cailplaptloicra tfiroenq uceonnctayi.n sT ahne Vni Φ1 C1 C2 Φ1 Vref polarity of the clock, Fclk, switches the amplifier between Φ2 Φ1 Φ2 Vout inverting or noninverting gain. The output is the product of the Φ2 input and the switching function from the local oscillator, with frequency components at the local oscillator plus and minus the signal frequency (Fclk + Fin and Fclk - Fin) and reduced-level Φ1 frequency components at odd integer multiples of the local oscillator frequency. The local oscillator frequency is provided by Φ1 Φ2 Φ1 the selected clock source for the mixer. Vref Continuous time up and down mixing works for applications with Φ2 C3 C4 Φ2 Vref input signals and local oscillator frequencies up to 1 MHz. 8.12.1 Down Mixer Figure 8-13. Mixer Configuration The S+H can be used as a mixer to down convert an input signal. C2 = 1.7 pF This circuit is a high bandwidth passive sample network that can sample input signals up to 14 MHz. This sampled value is then C1 = 850 fF held using the opamp with a maximum clock rate of 4 MHz. The output frequency is at the difference between the input frequency and the highest integer multiple of the Local Oscillator that is less Rmix 0 20 k or 40 k than the input. 8.12.2 First Order Modulator - SC Mode sc_clk Rmix 0 20 k or 40 k Vin A first order modulator is constructed by placing the switched Vout capacitor block in an integrator mode and using a comparator to 0 provide a 1-bit feedback to the input. Depending on this bit, a Vref 1 reference voltage is either subtracted or added to the input sc_clk signal. The block output is the output of the comparator and not the integrator in the modulator case. The signal is downshifted and buffered and then processed by a decimator to make a 8.12 Sample and Hold delta-sigma converter or a counter to make an incremental converter. The accuracy of the sampled data from the first-order The main application for a sample and hold, is to hold a value modulator is determined from several factors. The main stable while an ADC is performing a conversion. Some application for this modulator is for a low frequency ADC with applications require multiple signals to be sampled high accuracy. Applications include strain gauges, simultaneously, such as for power calculations (V and I). PSoC thermocouples, precision voltage, and current measurement. Creator offers a sample and hold component to support this function. Document Number: 001-84932 Rev. ** Page 56 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 9. Programming, Debug Interfaces, MiniProg3 programmer and debugger is designed to provide full Resources programming and debug support of PSoC devices in conjunction with the PSoC Creator IDE. PSoC JTAG, SWD, and SWV The Cortex-M3 has internal debugging components, tightly interfaces are fully compatible with industry standard third party integrated with the CPU, providing the following features: tools. ■JTAG or SWD access All Cortex-M3 debug and trace modules are disabled by default ■Flash Patch and Breakpoint (FPB) block for implementing and can only be enabled in firmware. If not enabled, the only way breakpoints and code patches to reenable them is to erase the entire device, clear flash protection, and reprogram the device with new firmware that ■Data Watchpoint and Trigger (DWT) block for implementing enables them. Disabling debug and trace features, robust flash watchpoints, trigger resources, and system profiling protection, and hiding custom analog and digital functionality ■Embedded Trace Macrocell (ETM) for instruction trace inside the PSoC device provide a level of security not possible ■Instrumentation Trace Macrocell (ITM) for support of printf-style with multichip application solutions. Additionally, all device debugging interfaces can be permanently disabled (Device Security) for PSoC devices include extensive support for programming, applications concerned about phishing attacks due to a testing, debugging, and tracing both hardware and firmware. maliciously reprogrammed device. Permanently disabling Four interfaces are available: JTAG, SWD, SWV, and interfaces is not recommended in most applications because the TRACEPORT. JTAG and SWD support all programming and designer then cannot access the device later. Because all debug features of the device. JTAG also supports standard JTAG programming, debug, and test interfaces are disabled when scan chains for board level test and chaining multiple JTAG Device Security is enabled, PSoCs with Device Security enabled devices to a single JTAG connection. The SWV and may not be returned for failure analysis. TRACEPORT provide trace output from the DWT, ETM, and 9.1 JTAG Interface ITM. TRACEPORT is faster but uses more pins. SWV is slower but uses only one pin. The IEEE 1149.1 compliant JTAG interface exists on four or five pins (the nTRST pin is optional). The JTAG clock frequency can For more information on PSoC 5 programming, refer to the be up to 12 MHz, or 1/3 of the CPU clock frequency for 8 and application note PSoC 5 Device Programming Specifications. 16-bit transfers, or 1/5 of the CPU clock frequency for 32-bit Cortex-M3 debug and trace functionality enables full device transfers, whichever is least. By default, the JTAG pins are debugging in the final system using the standard production enabled on new devices but the JTAG interface can be disabled, device. It does not require special interfaces, debugging pods, allowing these pins to be used as General Purpose I/O (GPIO) simulators, or emulators. Only the standard programming instead. The JTAG interface is used for programming the flash connections are required to fully support debug. memory, debugging, I/O scan chains, and JTAG device chaining. The PSoC Creator IDE software provides fully integrated programming and debug support for PSoC devices. The low cost Document Number: 001-84932 Rev. ** Page 57 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 9-1. JTAG Interface Connections between PSoC 5LP and Programmer V DD Host Programmer PSoC 5 VDD V , V , V , V , V , V 1, 2, 3, 4 DDD DDA DDIO0 DDIO1 DDIO2 DDIO3 TCK TCK (P1[1] TMS  5 TMS (P1[0])  5 TDO TDI (P1[4]) TDI TDO (P1[3]) nTRST 6 nTRST (P1[5]) 6 XRES XRES or P1[2] 4 GND V , V SSD SSA GND 1 The voltage levels of Host Programmer and the PSoC 5 voltage domains involved in Programming should be same. The Port 1 JTAG pins, XRES pin (XRES_N or P1[2]) are powered by V . So, V of PSoC 5 should be at same DDIO1 DDIO1 voltage level as host V . Rest of PSoC 5 voltage domains ( V , V , V , V , V ) need not be at the same DD DDD DDA DDIO0 DDIO2 DDIO3 voltage level as host Programmer. 2 Vdda must be greater than or equal to all other power supplies (Vddd, Vddio’s) in PSoC 5. 3 For Power cycle mode Programming, XRES pin is not required. But the Host programmer must have the capability to toggle power (Vddd, Vdda, All Vddio’s) to PSoC 5. This may typically require external interface circuitry to toggle power which will depend on the programming setup. The power supplies can be brought up in any sequence, however, once stable, VDDA must be greater than or equal to all other supplies. 4 For JTAG Programming, Device reset can also be done without connecting to the XRES pin or Power cycle mode by using the TMS,TCK,TDI, TDO pins of PSoC 5, and writing to a specific register. But this requires that the DPS setting in NVL is not equal to “Debug Ports Disabled”. 5 By default, PSoC 5 is configured for 4-wire JTAG mode unless user changes the DPS setting. So the TMS pin is unidirectional. But if the DPS setting is changed to non-JTAG mode, the TMS pin in JTAG is bi-directional as the SWD Protocol has to be used for acquiring the PSoC 5 device initially. After switching from SWD to JTAG mode, the TMS pin will be uni-directional. In such a case, unidirectional buffer should not be used on TMS line. 6 nTRST JTAG pin (P1[5]) cannot be used to reset the JTAG TAP controlller during first time programming of PSoC 5 as the default setting is 4-wire JTAG (nTRST disabled). Use the TMS, TCK pins to do a reset of JTAG TAP controller. Document Number: 001-84932 Rev. ** Page 58 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 9.2 SWD Interface SWD can be enabled on only one of the pin pairs at a time. This only happens if, within 8 µs (key window) after reset, that pin pair The SWD interface is the preferred alternative to the JTAG (JTAG or USB) receives a predetermined sequence of 1s and 0s. interface. It requires only two pins instead of the four or five SWD is used for debugging or for programming the flash needed by JTAG. SWD provides all of the programming and memory. debugging features of JTAG at the same speed. SWD does not provide access to scan chains or device chaining. The SWD The SWD interface can be enabled from the JTAG interface or clock frequency can be up to 1/3 of the CPU clock frequency. disabled, allowing its pins to be used as GPIO. Unlike JTAG, the SWD interface can always be reacquired on any device during SWD uses two pins, either two of the JTAG pins (TMS and TCK) the key window. It can then be used to reenable the JTAG or the USBIO D+ and D- pins. The USBIO pins are useful for in interface, if desired. When using SWD or JTAG pins as standard system programming of USB solutions that would otherwise GPIO, make sure that the GPIO functionality and PCB circuits do require a separate programming connector. One pin is used for not interfere with SWD or JTAG use. the data clock and the other is used for data input and output. Figure 9-2. SWD Interface Connections between PSoC 5LP and Programmer V DD Host Programmer PSoC 5 VDD VDDD, VDDA, VDDIO0, VDDIO1, VDDIO2, VDDIO3 1, 2, 3 SWDCK SWDCK (P1[1] or P15[7]) SWDIO SWDIO (P1[0] or P15[6]) XRES XRES or P1[2]  3 GND V , V SSD SSA GND 1 The voltage levels of the Host Programmer and the PSoC 5 voltage domains involved in programming should be the same. XRES pin (XRES_N or P1[2]) is powered by V . The USB SWD DDIO1 pins are powered by V . So for Programming using the USB SWD pins with XRES pin, the V , V DDD DDD DDIO1  of PSoC 5 should be at the same voltage level as Host V . Rest of PSoC 5 voltage domains DD ( V , V , V , V ) need not be at the same voltage level as host Programmer. The Port 1 SWD DDA DDIO0 DDIO2 DDIO3 pins are powered by V . So V of PSoC 5 should be at same voltage level as host V for DDIO1 DDIO1 DD Port 1 SWD programming. Rest of PSoC 5 voltage domains ( V ,  V , V , V , V ) need not DDD DDA DDIO0 DDIO2 DDIO3 be at the same voltage level as host Programmer. 2 Vdda must be greater than or equal to all other power supplies (Vddd, Vddio’s) in PSoC 5. 3 For Power cycle mode Programming, XRES pin is not required. But the Host programmer must have the capability to toggle power (Vddd, Vdda, All Vddio’s) to PSoC 5. This may typically require external interface circuitry to toggle power which will depend on the programming setup. The power supplies can be brought up in any sequence, however, once stable, VDDA must be greater than or equal to all other supplies. Document Number: 001-84932 Rev. ** Page 59 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 9.3 Debug Features 9.6 Programming Features The CY8C58LP supports the following debug features: The JTAG and SWD interfaces provide full programming ■Halt and single-step the CPU support. The entire device can be erased, programmed, and verified. Designers can increase flash protection levels to protect ■View and change CPU and peripheral registers, and RAM firmware IP. Flash protection can only be reset after a full device addresses erase. Individual flash blocks can be erased, programmed, and ■Six program address breakpoints and two literal access verified, if block security settings permit. breakpoints 9.7 Device Security ■Data watchpoint events to CPU ■Patch and remap instruction from flash to SRAM PSoC 5LP offers an advanced security feature called device ■Debugging at the full speed of the CPU security, which permanently disables all test, programming, and debug ports, protecting your application from external access. ■Compatible with PSoC Creator and MiniProg3 programmer and The device security is activated by programming a 32-bit key debugger (0x50536F43) to a Write Once Latch (WOL). ■Standard JTAG programming and debugging interfaces make The WOL is a type of nonvolatile latch (NVL). The cell itself is an CY8C58LP compatible with other popular third-party tools (for NVL with additional logic wrapped around it. Each WOL device example, ARM / Keil) contains four bytes (32 bits) of data. The wrapper outputs a ‘1’ if 9.4 Trace Features a super-majority (28 of 32) of its bits match a pre-determined pattern (0x50536F43); it outputs a ‘0’ if this majority is not The following trace features are supported: reached. When the output is 1, the Write Once NV latch locks the ■Instruction trace part out of Debug and Test modes; it also permanently gates off ■Data watchpoint on access to data address, address range, or the ability to erase or alter the contents of the latch. Matching all data value bits is intentionally not required, so that single (or few) bit failures ■Trace trigger on data watchpoint do not deassert the WOL output. The state of the NVL bits after ■Debug exception trigger wafer processing is truly random with no tendency toward 1 or 0. ■Code profiling The WOL only locks the part after the correct 32-bit key (0x50536F43) is loaded into the NVL's volatile memory, ■Counters for measuring clock cycles, folded instructions, programmed into the NVL's nonvolatile cells, and the part is load/store operations, sleep cycles, cycles per instruction, reset. The output of the WOL is only sampled on reset and used interrupt overhead to disable the access. This precaution prevents anyone from ■Interrupt events trace reading, erasing, or altering the contents of the internal memory. ■Software event monitoring, “printf-style” debugging The user can write the key into the WOL to lock out external 9.5 SWV and TRACEPORT Interfaces access only if no flash protection is set (see “Flash Security” section on page17). However, after setting the values in the The SWV and TRACEPORT interfaces provide trace data to a WOL, a user still has access to the part until it is reset. Therefore, debug host via the Cypress MiniProg3 or an external trace port a user can write the key into the WOL, program the flash analyzer. The 5 pin TRACEPORT is used for rapid transmission protection data, and then reset the part to lock it. of large trace streams. The single pin SWV mode is used to If the device is protected with a WOL setting, Cypress cannot minimize the number of trace pins. SWV is shared with a JTAG perform failure analysis and, therefore, cannot accept RMAs pin. If debugging and tracing are done at the same time then from customers. The WOL can be read out via SWD port to SWD may be used with either SWV or TRACEPORT, or JTAG electrically identify protected parts. The user can write the key in may be used with TRACEPORT, as shown in Table9-1. WOL to lock out external access only if no flash protection is set. For more information on how to take full advantage of the Table 9-1. Debug Configurations security features in PSoC see the PSoC 5 TRM. Debug and Trace Configuration GPIO Pins Used Disclaimer Note the following details of the flash code protection features on All debug and trace disabled 0 Cypress devices. JTAG 4 or 5 Cypress products meet the specifications contained in their particular Cypress datasheets. Cypress believes that its family of SWD 2 products is one of the most secure families of its kind on the SWV 1 market today, regardless of how they are used. There may be methods, unknown to Cypress, that can breach the code TRACEPORT 5 protection features. Any of these methods, to our knowledge, JTAG + TRACEPORT 9 or 10 would be dishonest and possibly illegal. Neither Cypress nor any other semiconductor manufacturer can guarantee the security of SWD + SWV 3 their code. Code protection does not mean that we are SWD + TRACEPORT 7 guaranteeing the product as “unbreakable.” Cypress is willing to work with the customer who is concerned about the integrity of their code. Code protection is constantly evolving. We at Cypress are committed to continuously improving the code protection features of our products. Document Number: 001-84932 Rev. ** Page 60 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 10. Development Support The CY8C58LP family has a rich set of documentation, Application Notes: PSoC application notes discuss a particular development tools, and online resources to assist you during application of PSoC in depth; examples include brushless DC your development process. Visit motor control and on-chip filtering. Application notes often psoc.cypress.com/getting-started to find out more. include example projects in addition to the application note document. 10.1 Documentation Technical Reference Manual: PSoC Creator makes designing A suite of documentation, to ensure that you can find answers to with PSoC as easy as dragging a peripheral onto a schematic, your questions quickly, supports the CY8C58LP family. This but, when low level details of the PSoC device are required, use section contains a list of some of the key documents. the technical reference manual (TRM) as your guide. Software User Guide: A step-by-step guide for using PSoC Note Visit www.arm.com for detailed documentation about the Creator. The software user guide shows you how the PSoC Cortex-M3 CPU. Creator build process works in detail, how to use source control with PSoC Creator, and much more. 10.2 Online Component Datasheets: The flexibility of PSoC allows the In addition to print documentation, the Cypress PSoC forums creation of new peripherals (components) long after the device connect you with fellow PSoC users and experts in PSoC from has gone into production. Component datasheets provide all of around the world, 24 hours a day, 7 days a week. the information needed to select and use a particular component, 10.3 Tools including a functional description, API documentation, example code, and AC/DC specifications. With industry standard cores, programming, and debugging interfaces, the CY8C58LP family is part of a development tool ecosystem. Visit us at www.cypress.com/go/psoccreator for the latest information on the revolutionary, easy to use PSoC Creator IDE, supported third party compilers, programmers, debuggers, and development kits. Document Number: 001-84932 Rev. ** Page 61 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11. Electrical Specifications Specifications are valid for –40 °C ≤ T ≤ 85 °C and T ≤ 100 °C, except where noted. Specifications are valid for 1.71V to 5.5V, A J except where noted. The unique flexibility of the PSoC UDBs and analog blocks enable many functions to be implemented in PSoC Creator components, see the component datasheets for full AC/DC specifications of individual functions. See the “Example Peripherals” section on page36 for further explanation of PSoC Creator components. 11.1 Absolute Maximum Ratings Table 11-1. Absolute Maximum Ratings DC Specifications Parameter Description Conditions Min Typ Max Units T Storage temperature Extended duration storage –55 25 100 °C STG temperatures above 100 °C degrade reliability. V Analog supply voltage relative to –0.5 – 6 V DDA V SSA V Digital supply voltage relative to –0.5 – 6 V DDD V SSD V I/O supply voltage relative to V –0.5 – 6 V DDIO SSD V Direct analog core voltage input –0.5 – 1.95 V CCA V Direct digital core voltage input –0.5 – 1.95 V CCD V Analog ground voltage V – 0.5 – V + V SSA SSD SSD 0.5 V [13] DC input voltage on GPIO Includes signals sourced by V V – 0.5 – V + V GPIO DDA SSD DDIO and routed internal to the pin. 0.5 V DC input voltage on SIO Output disabled V – 0.5 – 7 V SIO SSD Output enabled V – 0.5 – 6 V SSD V Voltage at boost converter input 0.5 – 5.5 V IND V Boost converter supply V – 0.5 – 5.5 V BAT SSD I Current per V supply pin – – 100 mA VDDIO DDIO I GPIO current –30 – 41 mA GPIO I SIO current –49 – 28 mA SIO I USBIO current –56 – 59 mA USBIO V ADC external reference inputs Pins P0[3], P3[2] – – 2 V EXTREF LU Latch up current[14] –140 – 140 mA ESD Electrostatic discharge voltage Human body model 2000 – – V HBM ESD ESD voltage Charge device model 500 – – V CDM Note Usage above the absolute maximum conditions listed in Table11-1 may cause permanent damage to the device. Exposure to maximum conditions for extended periods of time may affect device reliability. When used below maximum conditions but above normal operating conditions the device may not operate to specification. Notes 13.The VDDIO supply voltage must be greater than the maximum voltage on the associated GPIO pins. Maximum voltage on GPIO pin ≤ VDDIO ≤ VDDA. 14.Meets or exceeds JEDEC Spec EIA/JESD78 IC Latch-up Test. Document Number: 001-84932 Rev. ** Page 62 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.2 Device Level Specifications Specifications are valid for –40 °C ≤ T ≤ 85 °C and T ≤ 100 °C, except where noted. Specifications are valid for 1.71 V to 5.5V, A J except where noted. Unless otherwise specified, all charts and graphs show typical values. 11.2.1 Device Level Specifications Table 11-2. DC Specifications Parameter Description Conditions Min Typ Max Units V Analog supply voltage and input to analog core Analog core regulator enabled 1.8 – 5.5 V DDA regulator V Analog supply voltage, analog regulator bypassed Analog core regulator disabled 1.71 1.8 1.89 V DDA V Digital supply voltage relative to V Digital core regulator enabled 1.8 – V [15] V DDD SSD DDA V Digital supply voltage, digital regulator bypassed Digital core regulator disabled 1.71 1.8 1.89 V DDD V [16] I/O supply voltage relative to V 1.71 – V [15] V DDIO SSIO DDA V Direct analog core voltage input (Analog regulator Analog core regulator disabled 1.71 1.8 1.89 V CCA bypass) V Direct digital core voltage input (Digital regulator Digital core regulator disabled 1.71 1.8 1.89 V CCD bypass) Active Mode IDD[17] Sum of digital and analog IDDD + IDDA. IDDIOX for VDDX = 2.7 V to 5.5 V; T = –40 °C – 1.9 3.8 mA I/Os not included. IMO enabled, bus clock and CPU F = 3MHz CPU T = 25 °C – 1.9 3.8 clock enabled. CPU executing complex program from flash.[18] T = 85 °C – 2 3.8 V = 2.7 V to 5.5 V; T = –40 °C – 3.1 5 DDX F = 6MHz CPU T = 25 °C – 3.1 5 T = 85 °C – 3.2 5 V = 2.7 V to 5.5 V; T = –40 °C – 5.4 7 DDX F = 12MHz CPU T = 25 °C – 5.4 7 T = 85 °C – 5.6 7 V = 2.7 V to 5.5 V; T = –40 °C – 8.9 10.5 DDX F = 24MHz CPU T = 25 °C – 8.9 10.5 T = 85 °C – 9.1 10.5 V = 2.7 V to 5.5 V; T = –40 °C – 15.5 17 DDX F = 48MHz CPU T = 25 °C – 15.4 17 T = 85 °C – 15.7 17 V = 2.7 V to 5.5 V; T = –40 °C – 18 19.5 DDX F = 62MHz CPU T = 25 °C – 18 19.5 T = 85 °C – 18.5 19.5 Notes 15.The power supplies can be brought up in any sequence however once stable Vdda must be greater than or equal to all other supplies. 16.The VDDIO supply voltage must be greater than the maximum voltage on the associated GPIO pins. Maximum voltage on GPIO pin ≤ VDDIO ≤ VDDA. 17.The current consumption of additional peripherals that are implemented only in programmed logic blocks can be found in their respective datasheets, available in PSoC Creator, the integrated design environment. To estimate total current, find CPU current at frequency of interest and add peripheral currents for your particular system from the device datasheet and component datasheets. 18.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 63 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Table 11-2. DC Specifications (continued) Parameter Description Conditions Min Typ Max Units I [17] Sleep Mode[21] DD V = V = 4.5–5.5V T = –40°C – 1.9 3.1 µA DD DDIO CPU = OFF T = 25°C – 2.4 3.6 RTC = ON (= ECO32K ON, in low-power mode) Sleep timer = ON (= ILO ON at 1kHz)[21] T = 85°C – 5 16 WDT = OFF VDD = VDDIO = 2.7–3.6V T = –40°C – 1.7 3.1 I2C Wake = OFF T = 25°C – 2 3.6 Comparator = OFF POR = ON T = 85°C – 4.2 16 Boost = OFF V = V = 1.71–1.95V T = –40°C – 1.6 3.1 SIO pins in single ended input, unregulated output DD DDIO mode T = 25°C – 1.9 3.6 T = 85°C – 4.2 16 Comparator = ON V = V = 2.7–3.6V[20] T = 25°C – 3 4.2 µA DD DDIO CPU = OFF RTC = OFF Sleep timer = OFF WDT = OFF I2C Wake = OFF POR = ON Boost = OFF SIO pins in single ended input, unregulated output mode I2C Wake = ON V = V = 2.7–3.6V[20] T = 25°C – 1.7 3.6 µA DD DDIO CPU = OFF RTC = OFF Sleep timer = OFF WDT = OFF Comparator = OFF POR = ON Boost = OFF SIO pins in single ended input, unregulated output mode Hibernate Mode[19] V = V = 4.5–5.5V T = –40°C – 0.2 2 µA DD DDIO T = 25°C – 0.24 2 Hibernate mode current T = 85°C – 2.6 15 All regulators and oscillators off. V = V = 2.7–3.6V T = –40°C – 0.11 2 SRAM retention DD DDIO GPIO interrupts are active T = 25°C – 0.3 2 Boost = OFF T = 85°C – 2 15 SIO pins in single ended input, unregulated output mode V = V = 1.71–1.95V T = –40°C – 0.9 2 DD DDIO T = 25°C – 0.11 2 T = 85°C – 1.8 15 I [20] Analog current consumption while device is V ≤ 3.6V – 0.3 0.6 mA DDAR reset[20] DDA V > 3.6V – 1.4 3.3 mA DDA I [20] Digital current consumption while device is reset[20] V ≤ 3.6V – 1.1 3.1 mA DDDR DDD V > 3.6V – 0.7 3.1 mA DDD I [20] Current consumption while device programming. – 15 21 mA DD_PROG Sum of digital, analog, and I/Os: IDDD + IDDA + IDDIOX. Notes 19.If VCCD and VCCA are externally regulated, the voltage difference between VCCD and VCCA must be less than 50 mV. 20.Based on device characterization (Not production tested). 21.Sleep timer generates periodic interrupts to wake up the CPU. This specification applies only to those times that the CPU is off. Document Number: 001-84932 Rev. ** Page 64 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-1. I vs Frequency at 25 °C DD Table 11-3. AC Specifications[23] Parameter Description Conditions Min Typ Max Units F CPU frequency 1.71V ≤ V ≤ 5.5V DC – 67.01 MHz CPU DDD F Bus frequency 1.71V ≤ V ≤ 5.5V DC – 67.01 MHz BUSCLK DDD Svdd V ramp rate – – 0.066 V/µs DD T Time from V /V /V /V ≥ IPOR to – – 10 µs IO_INIT DDD DDA CCD CCA I/O ports set to their reset states T Time from V /V /V /V ≥ PRES V /V = regulated from – – 33 µs STARTUP DDD DDA CCD CCA CCA DDA to CPU executing code at reset vector V /V , no PLL used, fast IMO DDA DDD boot mode (48 MHz typ.) V /V = regulated from – – 66 µs CCA CCD V /V , no PLL used, slow IMO DDA DDD boot mode (12 MHz typ.) T Wakeup from sleep mode – – – 25 µs SLEEP Application of non-LVD interrupt to beginning of execution of next CPU instruction T Wakeup from hibernate mode – Application – – 125 µs HIBERNATE of external interrupt to beginning of execution of next CPU instruction 11.3 Power Regulators Specifications are valid for –40 °C ≤ T ≤ 85 °C and T ≤ 100 °C, except where noted. Specifications are valid for 1.71 V to 5.5V, A J except where noted. 11.3.1 Digital Core Regulator Table 11-4. Digital Core Regulator DC Specifications Parameter Description Conditions Min Typ Max Units V Input voltage 1.8 – 5.5 V DDD V Output voltage – 1.80 – V CCD Regulator output capacitor ±10%, X5R ceramic or better. The two V – 1 – µF CCD pins must be shorted together, with as short a trace as possible, see “Power System” section on page24 Notes 22.Based on device characterization (not production tested). USBIO pins tied to ground (VSSD). 23.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 65 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-2. Analog and Digital Regulators, V vs V , Figure 11-3. Digital Regulator PSRR vs Frequency and V CC DD DD 10 mA Load 90 80 70 60 B R, d 50 R 40 S PP 3300 20 10 0 0.1 1 10 100 1000 Frequency, kHz 4.5 3.6 2.7 11.3.2 Analog Core Regulator Table 11-5. Analog Core Regulator DC Specifications Parameter Description Conditions Min Typ Max Units V Input voltage 1.8 – 5.5 V DDA V Output voltage – 1.80 – V CCA Regulator output capacitor ±10%, X5R ceramic or better – 1 – µF Figure 11-4. Analog Regulator PSRR vs Frequency and V DD 70 60 50 B d 40 R, R 30 S PP 20 10 0 0.1 1 10 100 1000 Frequency, kHz 4.5 3.6 2.7 Document Number: 001-84932 Rev. ** Page 66 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.3.3 Inductive Boost Regulator Table 11-6. Inductive Boost Regulator DC Specifications[26] Unless otherwise specified, operating conditions are: LBOOST = 10 μH, CBOOST = 22 μF || 0.1 μF, 2 < VBAT:VOUT ≤ 4. Parameter Description Conditions Min Typ Max Units VBAT Input voltage, includes IOUT < 7.5 mA, VOUT = 1.8V nominal 0.5 – 0.6 V startup voltage[24] External diode required if VBAT < 0.9 V 0.6 – 3.6 V I Load current, steady V = 1.6 – 3.6 V, V = 1.6 – 3.6 V – – 75 mA OUT BAT OUT state[24, 25] V = 1.6 – 3.6 V, V = 3.6 – 5.0 V, external – – 50 mA BAT OUT diode V = 0.5 – 1.6 V, V = 1.6 – 3.6 V – – 15 mA BAT OUT V = 0.5 – 1.6 V, V = 3.6 – 5.0 V, external – – 15 mA BAT OUT diode I Inductor peak current – – 700 mA LPK I Quiescent current Boost active mode – 250 – µA Q Boost sleep mode, I < 1 µA – 25 – µA OUT VOUT Boost output voltage 1.8 V nominal 1.71 1.8 1.89 V 1.9 V nominal 1.81 1.90 2.00 V 2.0 V nominal 1.90 2.00 2.10 V 2.4 V nominal 2.28 2.40 2.52 V 2.7 V nominal 2.57 2.70 2.84 V 3.0 V nominal 2.85 3.00 3.15 V 3.3 V nominal 3.14 3.30 3.47 V 3.6 V nominal, External diode required 3.42 3.60 3.78 V 5.0 V nominal, External diode required 4.75 5.00 5.25 V V : V Ratio of V to V – – 4 ratio OUT BAT OUT BAT Reg Load regulation – – 5 % LOAD Reg Line regulation – – 5 % LINE Notes 24.For Vbat ≤ 0.9V or Vout ≥ 3.6V, an external diode is required. 25.If powering the PSoC from boost with Vbat = 0.5V, the IMO must be 3 MHz at startup. 26.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 67 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Table 11-7. Inductive Boost Regulator AC Specifications Parameter Description Conditions Min Typ Max Units VRIPPLE Ripple voltage, LBOOST = 10 μH, CBOOST = 22 μF || 0.1 μF, 2 < – – 100 mV peak-to-peak[27] VBAT:VOUT ≤ 4, Iout = 10 mA Table 11-8. Recommended External Components for Boost Circuit Parameter Description Conditions Min Typ Max Units L Boost inductor 4.7 10 22 µH BOOST CBOOST Filter capacitor[27] LBOOST = 4.7 µH – 10 – µF LBOOST = 10 µH – 22 – µF LBOOST = 22 µH – 22 – µF I External Schottky diode 1 – – A F average forward current V 20 – – V R Figure 11-5. Efficiency vs I V = 3.3V, Figure 11-6. Efficiency vs I V = 3.3 V, OUT BOOST OUT BOOST LBOOST = 10 µH[28] LBOOST = 22 µH[28] Notes 27.Based on device characterization (Not production tested). 28.Typical example. Actual efficiency may vary depending on external component selection, PCB layout, and other design parameters. Document Number: 001-84932 Rev. ** Page 68 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.4 Inputs and Outputs Specifications are valid for –40°C ≤ T ≤ 85°C and T ≤ 100°C, except where noted. Specifications are valid for 1.71V to 5.5V, A J except where noted. Unless otherwise specified, all charts and graphs show typical values. When the power supplies ramp up, there are low-impedance connections between each GPIO pin and its V supply. This causes DDIO the pin voltages to track V until both V and V reach the IPOR voltage, which can be as high as 1.45 V. At that point, the DDIO DDIO DDA low-impedance connections no longer exist and the pins change to their normal NVL settings. Also, if V is less than V , a low-impedance path may exist between a GPIO and V , causing the GPIO to track V until DDA DDIO DDA DDA V becomes greater than or equal to V . DDA DDIO 11.4.1 GPIO Table 11-9. GPIO DC Specifications Parameter Description Conditions Min Typ Max Units V Input voltage high threshold CMOS Input, PRT[x]CTL = 0 0.7 × V – – V IH DDIO V Input voltage low threshold CMOS Input, PRT[x]CTL = 0 – – 0.3 × V IL V DDIO V Input voltage high threshold LVTTL Input, PRT[x]CTL = 1, V < 2.7V 0.7 x V – – V IH DDIO DDIO V Input voltage high threshold LVTTL Input, PRT[x]CTL = 1, V ≥ 2.7V 2.0 – – V IH DDIO V Input voltage low threshold LVTTL Input, PRT[x]CTL = 1, V < 2.7V – – 0.3 x V IL DDIO V DDIO V Input voltage low threshold LVTTL Input, PRT[x]CTL = 1, V ≥ 2.7V – – 0.8 V IL DDIO V Output voltage high I = 4 mA at 3.3 V V – 0.6 – – V OH OH DDIO DDIO I = 1 mA at 1.8 V V – 0.5 – – V OH DDIO DDIO V Output voltage low I = 8 mA at 3.3 V – – 0.6 V OL OL DDIO I = 3 mA at 3.3 V – – 0.4 V OL DDIO I = 4 mA at 1.8 V – – 0.6 V OL DDIO Rpullup Pull-up resistor 3.5 5.6 8.5 kΩ Rpulldown Pull-down resistor 3.5 5.6 8.5 kΩ I Input leakage current (absolute 25°C, V = 3.0 V – – 2 nA IL DDIO value)[29] C Input capacitance[29] GPIOs not shared with opamp outputs, – 5 9 pF IN MHzECO or kHzECO GPIOs shared with MHzECO or – 5 9 pF kHzECO[30] GPIOs shared with opamp outputs – 10 20 pF GPIOs shared with SAR inputs – 10 20 pF V Input voltage hysteresis – 40 – mV H (Schmitt-Trigger)[29] Idiode Current through protection diode – – 100 µA to V and V DDIO SSIO Rglobal Resistance pin to analog global 25°C, V = 3.0 V – 320 – Ω DDIO bus Rmux Resistance pin to analog mux bus 25°C, V = 3.0 V – 220 – Ω DDIO Notes 29.Based on device characterization (Not production tested). 30.For information on designing with PSoC 3 oscillators, refer to the application note, AN54439 - PSoC® 3 and PSoC 5 External Oscillator. Document Number: 001-84932 Rev. ** Page 69 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-7. GPIO Output High Voltage and Current Figure 11-8. GPIO Output Low Voltage and Current Table 11-10. GPIO AC Specifications[31] Parameter Description Conditions Min Typ Max Units TriseF Rise time in Fast Strong Mode 3.3V V Cload = 25 pF – – 12 ns DDIO TfallF Fall time in Fast Strong Mode 3.3V V Cload = 25 pF – – 12 ns DDIO TriseS Rise time in Slow Strong Mode 3.3V V Cload = 25 pF – – 60 ns DDIO TfallS Fall time in Slow Strong Mode 3.3V V Cload = 25 pF – – 60 ns DDIO GPIO output operating frequency 2.7V < V < 5.5V, fast strong drive mode 90/10% V into 25 pF – – 33 MHz DDIO DDIO Fgpioout 1.71V < V < 2.7V, fast strong drive mode 90/10% V into 25 pF – – 20 MHz DDIO DDIO 3.3V < V < 5.5V, slow strong drive mode 90/10% V into 25 pF – – 7 MHz DDIO DDIO 1.71V < V < 3.3V, slow strong drive mode 90/10% V into 25 pF – – 3.5 MHz DDIO DDIO Fgpioin GPIO input operating frequency 90/10% V – – 66 MHz DDIO Figure 11-9. GPIO Output Rise and Fall Times, Fast Strong Figure 11-10. GPIO Output Rise and Fall Times, Slow Strong Mode, V = 3.3 V, 25 pF Load Mode, V = 3.3 V, 25 pF Load DDIO DDIO Note 31.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 70 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.4.2 SIO Table 11-11. SIO DC Specifications Parameter Description Conditions Min Typ Max Units Vinmax Maximum input voltage All allowed values of Vddio and – – 5.5 V Vddd, see Section11.2.1 Vinref Input voltage reference (differential 0.5 – 0.52 × V V DDIO input mode) Output voltage reference (regulated output mode) Voutref V > 3.7 1 – V – 1 V DDIO DDIO V < 3.7 1 – V – 0.5 V DDIO DDIO Input voltage high threshold V GPIO mode CMOS input 0.7 × V – – V IH DDIO Differential input mode[32] Hysteresis disabled SIO_ref + 0.2 – – V Input voltage low threshold V GPIO mode CMOS input – – 0.3 × V V IL DDIO Differential input mode[32] Hysteresis disabled – – SIO_ref – 0.2 V Output voltage high Unregulated mode I = 4 mA, V = 3.3V V – 0.4 – – V OH DDIO DDIO V Regulated mode[32] I = 1 mA SIO_ref – 0.65 – SIO_ref + 0.2 V OH OH I = 0.1 mA SIO_ref – 0.3 – SIO_ref + 0.2 V OH no load, I = 0 SIO_ref – 0.1 – SIO_ref + 0.1 V OH V Output voltage low V = 3.30 V, I = 25 mA – – 0.8 V OL DDIO OL V = 3.30 V, I = 20 mA – – 0.4 V DDIO OL V = 1.80 V, I = 4 mA – – 0.4 V DDIO OL Rpullup Pull-up resistor 3.5 5.6 8.5 kΩ Rpulldown Pull-down resistor 3.5 5.6 8.5 kΩ I Input leakage current (absolute IL value)[33] V < Vddsio 25°C, Vddsio = 3.0 V, V = 3.0 V – – 14 nA IH IH V > Vddsio 25°C, Vddsio = 0 V, V = 3.0 V – – 10 µA IH IH C Input Capacitance[33] – – 7 pF IN Input voltage hysteresis Single ended mode (GPIO mode) – 115 – mV VH (Schmitt-Trigger)[33] Differential mode – 50 – mV Current through protection diode to – – 100 µA Idiode V SSIO Notes 32.See Figure 6-9 on page 31 and Figure 6-12 on page 34 for more information on SIO reference. 33.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 71 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-11. SIO Output HighVoltage and Current, Figure 11-12. SIO Output Low Voltage and Current, Unregulated Mode Unregulated Mode Figure 11-13. SIO Output High Voltage and Current, Regulated Mode SIO AC Specifications[34] Parameter Description Conditions Min Typ Max Units TriseF Rise time in fast strong mode Cload = 25 pF, V = 3.3V – – 12 ns DDIO (90/10%) TfallF Fall time in fast strong mode Cload = 25 pF, V = 3.3V – – 12 ns DDIO (90/10%) TriseS Rise time in slow strong mode Cload = 25 pF, V = 3.0 V – – 75 ns DDIO (90/10%) TfallS Fall time in slow strong mode Cload = 25 pF, V = 3.0 V – – 60 ns DDIO (90/10%) Note 34.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 72 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet SIO AC Specifications[34] (continued) Parameter Description Conditions Min Typ Max Units SIO output operating frequency 2.7V < V < 5.5V, Unregu- 90/10% V into 25 pF – – 33 MHz DDIO DDIO lated output (GPIO) mode, fast strong drive mode 1.71V < V < 2.7V, Unregu- 90/10% V into 25 pF – – 16 MHz DDIO DDIO lated output (GPIO) mode, fast strong drive mode 3.3V < V < 5.5V, Unregu- 90/10% V into 25 pF – – 5 MHz DDIO DDIO lated output (GPIO) mode, slow strong drive mode 1.71V < V < 3.3V, Unregu- 90/10% V into 25 pF – – 4 MHz Fsioout DDIO DDIO lated output (GPIO) mode, slow strong drive mode 2.7V < V < 5.5V, Regulated Output continuously switching into – – 20 MHz DDIO output mode, fast strong drive 25 pF mode 1.71V < V < 2.7V, Regulated Output continuously switching into – – 10 MHz DDIO output mode, fast strong drive 25 pF mode 1.71V < V < 5.5V, Regulated Output continuously switching into – – 2.5 MHz DDIO output mode, slow strong drive 25 pF mode SIO input operating frequency Fsioin 1.71V < V < 5.5V 90/10% V – – 66 MHz DDIO DDIO Figure 11-14. SIO Output Rise and Fall Times, Fast Strong Figure 11-15. SIO Output Rise and Fall Times, Slow Strong Mode, V = 3.3 V, 25 pF Load Mode, V = 3.3 V, 25 pF Load DDIO DDIO Document Number: 001-84932 Rev. ** Page 73 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.4.3 USBIO For operation in GPIO mode, the standard range for V applies, see Device Level Specifications on page 63. DDD Table 11-13. USBIO DC Specifications Parameter Description Conditions Min Typ Max Units Rusbi USB D+ pull-up resistance[35] With idle bus 0.900 – 1.575 kΩ Rusba USB D+ pull-up resistance[35] While receiving traffic 1.425 – 3.090 kΩ Vohusb Static output high[35] 15 kΩ ±5% to Vss, internal pull-up 2.8 – 3.6 V enabled Volusb Static output low[35] 15 kΩ ±5% to Vss, internal pull-up – – 0.3 V enabled Vihgpio Input voltage high, GPIO mode[35] V = 1.8V 1.5 – – V DDD V = 3.3V 2 – – V DDD V = 5.0V 2 – – V DDD Vilgpio Input voltage low, GPIO mode[35] V = 1.8V – – 0.8 V DDD V = 3.3V – – 0.8 V DDD V = 5.0V – – 0.8 V DDD Vohgpio Output voltage high, GPIO I = 4mA, V = 1.8V 1.6 – – V mode[35] OH DDD I = 4mA, V = 3.3V 3.1 – – V OH DDD I = 4mA, V = 5.0V 4.2 – – V OH DDD Volgpio Output voltage low, GPIO mode[35] I = 4mA, V = 1.8V – – 0.3 V OL DDD I = 4mA, V = 3.3V – – 0.3 V OL DDD I = 4mA, V = 5.0V – – 0.3 V OL DDD Vdi Differential input sensitivity |(D+)–(D–)| – – 0.2 V Vcm Differential input common mode 0.8 – 2.5 V range Vse Single ended receiver threshold 0.8 – 2 V Rps2 PS/2 pull-up resistance[35] In PS/2 mode, with PS/2 pull-up 3 – 7 kΩ enabled Rext External USB series resistor[35] In series with each USB pin 21.78 22 22.22 (+1%) Ω (–1%) Zo USB driver output impedance[35] Including Rext 28 – 44 Ω C USB transceiver input capacitance – – 20 pF IN IIL[35] Ivnapluuet )le[3a5k]age current (absolute 25°C, VDDD = 3.0 V – – 2 nA Note 35.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 74 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-16. USBIO Output High Voltage and Current, Figure 11-17. USBIO Output Rise and Fall Times, GPIO Mode, GPIO Mode V = 3.3 V, 25 pF Load DDD Table 11-14. USBIO AC Specifications[36] Parameter Description Conditions Min Typ Max Units Tdrate Full-speed data rate average bit rate 12 – 0.25% 12 12 + MHz 0.25% Tjr1 Receiver data jitter tolerance to next –8 – 8 ns transition Tjr2 Receiver data jitter tolerance to pair –5 – 5 ns transition Tdj1 Driver differential jitter to next transition –3.5 – 3.5 ns Tdj2 Driver differential jitter to pair transition –4 – 4 ns Tfdeop Source jitter for differential transition to –2 – 5 ns SE0 transition Tfeopt Source SE0 interval of EOP 160 – 175 ns Tfeopr Receiver SE0 interval of EOP 82 – – ns Tfst Width of SE0 interval during differential – – 14 ns transition Fgpio_out GPIO mode output operating frequency 3V ≤ V ≤ 5.5V – – 20 MHz DDD V = 1.71V – – 6 MHz DDD Tr_gpio Rise time, GPIO mode, 10%/90% V V > 3V, 25 pF load – – 12 ns DDD DDD V = 1.71V, 25 pF load – – 40 ns DDD Tf_gpio Fall time, GPIO mode, 90%/10% V V > 3V, 25 pF load – – 12 ns DDD DDD V = 1.71V, 25 pF load – – 40 ns DDD Note 36.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 75 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-18. USBIO Output Low Voltage and Current, GPIO Mode Table 11-15. USB Driver AC Specifications[37] Parameter Description Conditions Min Typ Max Units Tr Transition rise time – – 20 ns Tf Transition fall time – – 20 ns TR Rise/fall time matching V , V , see USB DC 90% – 111% USB_5 USB_3.3 Specifications on page 103 Vcrs Output signal crossover voltage 1.3 – 2 V 11.4.4 XRES Table 11-16. XRES DC Specifications Parameter Description Conditions Min Typ Max Units V Input voltage high threshold 0.7 × V – – V IH DDIO V Input voltage low threshold – – 0.3 × V V IL DDIO Rpullup Pull-up resistor 3.5 5.6 8.5 kΩ C Input capacitance[37] – 3 pF IN V Input voltage hysteresis – 100 – mV H (Schmitt-Trigger)[37] Idiode Current through protection diode to – – 100 µA V and V DDIO SSIO Table 11-17. XRES AC Specifications[37] Parameter Description Conditions Min Typ Max Units T Reset pulse width 1 – – µs RESET Note 37.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 76 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.5 Analog Peripherals Specifications are valid for –40 °C ≤ T ≤ 85 °C and T ≤ 100 °C, except where noted. Specifications are valid for 1.71V to 5.5V, A J except where noted. 11.5.1 Opamp Table 11-18. Opamp DC Specifications Parameter Description Conditions Min Typ Max Units V Input voltage range V – V V I SSA DDA Vos Input offset voltage – – 2.5 mV Operating temperature –40°C to – – 2 mV 70°C TCVos Input offset voltage drift with temperature Power mode = high – – ±30 µV / °C Ge1 Gain error, unity gain buffer mode Rload = 1 kΩ – – ±0.1 % Cin Input capacitance Routing from pin – – 18 pF Vo Output voltage range 1 mA, source or sink, power mode V + 0.05 – V – V SSA DDA = high 0.05 Iout Output current capability, source or sink V + 500 mV ≤ Vout ≤ V –500 25 – – mA SSA DDA mV, V > 2.7V DDA V + 500 mV ≤ Vout ≤ V –500 16 – – mA SSA DDA mV, 1.7 V = V ≤ 2.7V DDA Idd Quiescent current[38] Power mode = min – 250 400 uA Power mode = low – 250 400 uA Power mode = med – 330 950 uA Power mode = high – 1000 2500 uA CMRR Common mode rejection ratio[38] 80 – – dB PSRR Power supply rejection ratio[38] Vdda ≥ 2.7 V 85 – – dB Vdda < 2.7 V 70 – – dB Figure 11-19. Opamp Voffset Histogram, 3388 samples/847 Figure 11-20. Opamp Voffset vs Temperature, V = 5V DDA parts, 25 °C, V = 5 V DDA Note 38.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 77 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-21. Opamp Voffset vs Vcommon and Vdda, 25 °C Figure 11-22. Opamp Output Voltage vs Load Current and Temperature, High Power Mode, 25 °C, Vdda = 2.7 V Figure 11-23. Opamp Operating Current vs Vdda and Power Mode Table 11-19. Opamp AC Specifications[39] Parameter Description Conditions Min Typ Max Units GBW Gain-bandwidth product Power mode = minimum, 15pF load 1 – – MHz Power mode = low, 15pF load 2 – – MHz Power mode = medium, 200pF load 1 – – MHz Power mode = high, 200pF load 3 – – MHz SR Slew rate, 20% - 80% Power mode = minimum, 15pF load 1.1 – – V/µs Power mode = low, 15pF load 1.1 – – V/µs Power mode = medium, 200pF load 0.9 – – V/µs Power mode = high, 200pF load 3 – – V/µs e Input noise density Power mode = high, Vdda = 5 V, at – 45 – nV/sqrtHz n 100 kHz Note 39.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 78 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-24. Opamp Noise vs Frequency, Power Mode = Figure 11-25. Opamp Step Response, Rising High, Vdda = 5V 1000 z H qrt 100 s V/ n 10 0.01 0.1 1 10 100 1000 Frequency, kHz Figure 11-26. Opamp Step Response, Falling Document Number: 001-84932 Rev. ** Page 79 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.5.2 Delta-Sigma ADC Unless otherwise specified, operating conditions are: ■Operation in continuous sample mode ■fclk = 3.072 MHz for resolution = 16 to 20 bits; fclk = 6.144 MHz for resolution = 8 to 15 bits ■Reference = 1.024 V internal reference bypassed on P3.2 or P0.3 ■Unless otherwise specified, all charts and graphs show typical values Table 11-20. 20-bit Delta-sigma ADC DC Specifications Parameter Description Conditions Min Typ Max Units Resolution 8 – 20 bits No. of Number of channels, single ended – – – GPIO Differential pair is formed using a No. of Number of channels, differential – – – pair of GPIOs. GPIO/2 Monotonic Yes – – – – Buffered, buffer gain = 1, Range = Ge Gain error – – ±0.4 % ±1.024V, 16-bit mode, 25 °C Buffered, buffer gain = 1, Range = Gd Gain drift – – 50 ppm/°C ±1.024V, 16-bit mode Buffered, 16-bit mode, full voltage – – ±0.2 mV range, 25 °C Vos Input offset voltage Buffered, 16-bit mode, V = 1.7 V, DDA – – ±0.1 mV 25 °C Temperature coefficient, input offset Buffer gain = 1, 16-bit, TCVos – – 0.55 µV/°C voltage Range = ±1.024 V Input voltage range, single ended[40] V – V V SSA DDA Input voltage range, differential unbuf- fered[40] VSSA – VDDA V Input voltage range, differential, buffered[40] VSSA – VDDA – 1 V PSRRb Power supply rejection ratio, buffered[40] Buffer gain = 1, 16-bit, 90 – – dB Range = ±1.024V CMRRb Common mode rejection ratio, buffered[40] Buffer gain = 1, 16 bit, 85 – – dB Range = ±1.024 V INL20 Integral non linearity[40] Range = ±1.024V, unbuffered – – ±32 LSB DNL20 Differential non linearity[40] Range = ±1.024V, unbuffered – – ±1 LSB INL16 Integral non linearity[40] Range = ±1.024V, unbuffered – – ±2 LSB DNL16 Differential non linearity[40] Range = ±1.024V, unbuffered – – ±1 LSB INL12 Integral non linearity[40] Range = ±1.024V, unbuffered – – ±1 LSB DNL12 Differential non linearity[40] Range = ±1.024V, unbuffered – – ±1 LSB INL8 Integral non linearity[40] Range = ±1.024V, unbuffered – – ±1 LSB DNL8 Differential non linearity[40] Range = ±1.024V, unbuffered – – ±1 LSB Rin_Buff ADC input resistance Input buffer used 10 – – MΩ Rin_ADC16 ADC input resistance Input buffer bypassed, 16-bit, – 74[41] – kΩ Range = ±1.024V Rin_ADC12 ADC input resistance Input buffer bypassed, 12 bit, – 148[41] – kΩ Range = ±1.024V Notes 40.Based on device characterization (not production tested). 41.By using switched capacitors at the ADC input an effective input resistance is created. Holding the gain and number of bits constant, the resistance is proportional to the inverse of the clock frequency. This value is calculated, not measured. For more information see the Technical Reference Manual. Document Number: 001-84932 Rev. ** Page 80 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Table 11-20. 20-bit Delta-sigma ADC DC Specifications (continued) Parameter Description Conditions Min Typ Max Units ADC external reference input voltage, see Vextref also internal reference in Voltage Pins P0[3], P3[2] 0.9 – 1.3 V Reference on page 84 Current Consumption I I + I Current consumption, 20 bit[42] 187 sps, unbuffered – – 1.5 mA DD_20 DDA DDD I I + I Current consumption, 16 bit[42] 48 ksps, unbuffered – – 1.5 mA DD_16 DDA DDD I I + I Current consumption, 12 bit[42] 192 ksps, unbuffered – – 1.95 mA DD_12 DDA DDD I I + I Current consumption, 8 bit[42] 384 ksps, unbuffered – – 1.95 mA DD_8 DDA DDD I Buffer current consumption[42] – – 2.5 mA BUFF Table 11-21. Delta-sigma ADC AC Specifications Parameter Description Conditions Min Typ Max Units Startup time – – 4 Samples THD Total harmonic distortion[42] Buffer gain = 1, 16 bit, – – 0.0032 % Range = ±1.024V 20-Bit Resolution Mode SR20 Sample rate[42] Range = ±1.024V, unbuffered 7.8 – 187 sps BW20 Input bandwidth at max sample rate[42] Range = ±1.024V, unbuffered – 40 – Hz 16-Bit Resolution Mode SR16 Sample rate[42] Range = ±1.024V, unbuffered 2 – 48 ksps BW16 Input bandwidth at max sample rate[42] Range = ±1.024V, unbuffered – 11 – kHz SINAD16int Signal to noise ratio, 16-bit, internal Range = ±1.024V, unbuffered 81 – – dB reference[42] SINAD16ext Signal to noise ratio, 16-bit, external Range = ±1.024V, unbuffered 84 – – dB reference[42] 12-Bit Resolution Mode SR12 Sample rate, continuous, high power[42] Range = ±1.024V, unbuffered 4 – 192 ksps BW12 Input bandwidth at max sample rate[42] Range = ±1.024V, unbuffered – 44 – kHz SINAD12int Signal to noise ratio, 12-bit, internal Range = ±1.024V, unbuffered 66 – – dB reference[42] 8-Bit Resolution Mode SR8 Sample rate, continuous, high power[42] Range = ±1.024V, unbuffered 8 – 384 ksps BW8 Input bandwidth at max sample rate[42] Range = ±1.024V, unbuffered – 88 – kHz SINAD8int Signal to noise ratio, 8-bit, internal Range = ±1.024V, unbuffered 43 – – dB reference[42] Note 42.Based on device characterization (not production tested). Document Number: 001-84932 Rev. ** Page 81 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Table 11-22. Delta-sigma ADC Sample Rates, Range = ±1.024V Continuous Multi-Sample Multi-Sample Turbo Resolution, Bits Min Max Min Max Min Max 8 8000 384000 1911 91701 1829 87771 9 6400 307200 1543 74024 1489 71441 10 5566 267130 1348 64673 1307 62693 11 4741 227555 1154 55351 1123 53894 12 4000 192000 978 46900 956 45850 13 3283 157538 806 38641 791 37925 14 2783 133565 685 32855 674 32336 15 2371 113777 585 28054 577 27675 16 2000 48000 495 11861 489 11725 17 500 12000 124 2965 282 6766 18 125 3000 31 741 105 2513 19 16 375 4 93 15 357 20 8 187.5 2 46 8 183 Figure 11-27. Delta-sigma ADC IDD vs sps, Range = ±1.024V, Figure 11-28. Delta-sigma ADC Noise Histogram, 1000 Sam- Continuous Sample Mode, Input Buffer Bypassed ples, 20-Bit, 187 sps, Ext Ref, V = V /2, Range = ±1.024V IN REF 1.4 1.2 1.0 A m 0.8 nt, e 00.66 16 bit urr 12 bit C 0.4 0.2 0.0 1 10 100 1000 Sample rate, Ksps Figure 11-29. Delta-sigma ADC Noise Histogram, 1000 Figure 11-30. Delta-sigma ADC Noise Histogram, 1000 Samples, 16-bit, 48 ksps, Ext Ref, V = V /2, Range = Samples, 16-bit, 48 ksps, Int Ref, V = V /2, Range = IN REF IN REF ±1.024V ±1.024V Document Number: 001-84932 Rev. ** Page 82 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Table 11-23. Delta-sigma ADC RMS Noise in Counts vs. Input Range and Sample Rate, 16-bit, Internal Reference, Single Ended[43] Sample rate, Input Voltage Range sps 0 to VREF 0 to VREF x 2 VSSA to VDDA 0 to VREF x 6 2000 1.21 1.02 1.14 0.99 3000 1.28 1.15 1.25 1.22 6000 1.36 1.22 1.38 1.22 12000 1.44 1.33 1.43 1.40 24000 1.67 1.50 1.43 1.53 48000 1.91 1.60 1.85 1.67 Table 11-24. Delta-sigma ADC RMS Noise in Counts vs. Input Range and Sample Rate, 16-bit, Internal Reference, Differential[43] Sample rate, Input Voltage Range sps ±VREF ±VREF / 2 ±VREF / 4 ±VREF / 8 ±VREF / 16 2000 0.56 0.65 0.74 1.02 1.77 4000 0.58 0.72 0.81 1.10 1.98 8000 0.53 0.72 0.82 1.12 2.18 15625 0.58 0.72 0.85 1.13 2.20 32000 0.60 0.76 INVALID OPERATING REGION 43750 0.58 0.75 48000 0.59 Table 11-25. Delta-sigma ADC RMS Noise in Counts vs. Input Range and Sample Rate, 20-bit, External Reference, Single Ended[43] Sample rate, Input Voltage Range sps 0 to VREF 0 to VREF x 2 VSSA to VDDA 0 to VREF x 6 8 1.28 1.24 6.02 0.97 23 1.33 1.28 6.09 0.98 45 1.77 1.26 6.28 0.96 90 1.65 0.91 6.84 0.95 187 1.87 1.06 7.97 1.01 Table 11-26. Delta-sigma ADC RMS Noise in Counts vs. Input Range and Sample Rate, 20-bit, External Reference, Differential[43] Sample rate, Input Voltage Range sps ±VREF ±VREF / 2 ±VREF / 4 ±VREF / 8 ±VREF / 16 8 0.70 0.84 1.02 1.40 2.65 11.3 0.69 0.86 0.96 1.40 2.69 22.5 0.73 0.82 1.25 1.77 2.67 45 0.76 0.94 1.02 1.76 2.75 61 0.75 1.01 1.13 1.65 2.98 170 0.75 0.98 INVALID OPERATING REGION 187 0.73 Note 43.The RMS noise (in volts) is the range (in volts) times noise in counts divided by 2^number of bits. RMS Noise = (Range × Counts) / 2^bits Document Number: 001-84932 Rev. ** Page 83 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-31. Delta-sigma ADC DNL vs Output Code, 16-bit, Figure 11-32. Delta-sigma ADC INL vs Output Code, 16-bit, 48 ksps, 25 °C V = 3.3 V 48 ksps, 25 °C V = 3.3 V DDA DDA 11.5.3 Voltage Reference Table 11-27. Voltage Reference Specifications See ADC external reference specifications in Section11.5.2. Parameter Description Conditions Min Typ Max Units V Precision reference voltage Initial trimming 1.023 1.024 1.025 V REF (–0.1%) (+0.1%) Temperature drift[44] – – 30 ppm/°C Long term drift[44] – 100 – ppm/Khr Thermal cycling drift (stability)[44] – 100 – ppm Figure 11-33. Vref vs Temperature Figure 11-34. Vref Long-term Drift Note 44.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 84 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.5.4 SAR ADC Table 11-28. SAR ADC DC Specifications Parameter Description Conditions Min Typ Max Units Resolution – – 12 bits Number of channels – single-ended – – No of GPIO Number of channels – differential Differential pair is formed using a – – No of pair of neighboring GPIO. GPIO/2 Monotonicity[45] Yes – – Ge Gain error[46] External reference – – ±0.1 % V Input offset voltage – – ±2 mV OS I Current consumption[45] – – 1 mA DD Input voltage range – single-ended[45] V – V V SSA DDA Input voltage range – differential[45] V – V V SSA DDA PSRR Power supply rejection ratio[45] 70 – – dB CMRR Common mode rejection ratio 70 – – dB INL Integral non linearity[45] V 1.71 to 5.5 V, 1 Msps, V – – +2/–1.5 LSB DDA REF 1 to 5.5 V V 2.0 to 3.6 V, 1 Msps, V – – ±1.2 LSB DDA REF 2 to V DDA V 1.71 to 5.5 V, 500 ksps, – – ±1.3 LSB DDA V 1 to 5.5 V REF DNL Differential non linearity[45] V 1.71 to 5.5 V, 1 Msps, V – – +2/–1 LSB DDA REF 1 to 5.5 V V 2.0 to 3.6 V, 1 Msps, V – – 1.7/–0.99 LSB DDA REF 2 to V DDA No missing codes V 1.71 to 5.5 V, 500 ksps, – – +2/–0.99 LSB DDA V 1 to 5.5 V REF No missing codes R Input resistance[45] – 180 – kΩ IN Figure 11-35. SAR ADC DNL vs Output Code, Figure 11-36. SAR ADC INL vs Output Code, Bypassed Internal Reference Mode Bypassed Internal Reference Mode Notes 45.Based on device characterization (Not production tested). 46.For total analog system Idd < 5 mA, depending on package used. With higher total analog system currents it is recommended that the SAR ADC be used in differential mode. Document Number: 001-84932 Rev. ** Page 85 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-37. SAR ADC I vs sps, V = 5 V, Continuous DD DDA Sample Mode, External Reference Mode Table 11-29. SAR ADC AC Specifications[48] Parameter Description Conditions Min Typ Max Units Fclk SAR clock frequency 1 – 18 MHz Tc Conversion time One conversion requires 18 SAR 1 – 18 µs clocks. Maximum sample rate is 1 Msps Startup time – – 10 µs SINAD Signal-to-noise ratio 68 – – dB THD Total harmonic distortion – – 0.02 % Figure 11-38. SAR ADC Noise Histogram, 1000 samples, Figure 11-39. SAR ADC Noise Histogram, 1000 700ksps, Internal Reference No Bypass, V = VREF/2 samples, 700 ksps, Internal Reference Bypassed, V = IN IN VREF/2 Note 47.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 86 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-40. SAR ADC Noise Histogram, 1000 samples, 700ksps, External Reference, V = VREF/2 IN 11.5.5 Analog Globals Table 11-30. Analog Globals DC Specifications Parameter Description Conditions Min Typ Max Units Rppag Resistance pin-to-pin through V = 3.0 V – 1500 2200 Ω DDA P2[4], AGL0, DSM INP, AGL1, V = 1.71V – 1200 1700 Ω P2[5][49] DDA Rppmuxbus Resistance pin-to-pin through V = 3.0 V – 700 1100 Ω DDA P2[3], amuxbusL, P2[4][49] V = 1.71V – 600 900 Ω DDA Table 11-31. Analog Globals AC Specifications Parameter Description Conditions Min Typ Max Units Inter-pair crosstalk for analog 106 – – dB routes[48] BWag Analog globals 3 db bandwidth[49] V = 3.0 V, 25 °C – 26 – MHz DDA Notes 48.Based on device characterization (Not production tested). 49.The resistance of the analog global and analog mux bus is high if VDDA ≤ 2.7 V, and the chip is in either sleep or hibernate mode. Use of analog global and analog mux bus under these conditions is not recommended. 50.This value is calculated, not measured. 51.Pin P6[4] to del-sig ADC input; calculated, not measured. Document Number: 001-84932 Rev. ** Page 87 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.5.6 Comparator Table 11-32. Comparator DC Specifications[52] Parameter Description Conditions Min Typ Max Units Input offset voltage in fast mode Factory trim, Vdda > 2.7 V, – 10 mV V Vin ≥ 0.5 V OS Input offset voltage in slow mode Factory trim, Vin ≥ 0.5 V – 9 mV Input offset voltage in fast mode[53] Custom trim – – 4 mV V OS Input offset voltage in slow mode[53] Custom trim – – 4 mV V Input offset voltage in ultra low – ±12 – mV OS power mode TCVos Temperature coefficient, input offset V = V / 2, fast mode – 63 85 µV/°C CM DDA voltage V = V / 2, slow mode – 15 20 CM DDA V Hysteresis Hysteresis enable mode – 10 32 mV HYST V Input common mode voltage High current / fast mode V – V V ICM SSA DDA Low current / slow mode V – V V SSA DDA Ultra low power mode V – V – 1.15 V SSA DDA CMRR Common mode rejection ratio – 50 – dB I High current mode/fast mode – – 400 µA CMP Low current mode/slow mode – – 100 µA Ultra low power mode – 6 – µA Table 11-33. Comparator AC Specifications[52] Parameter Description Conditions Min Typ Max Units Response time, high current 50 mV overdrive, measured – 75 110 ns mode[52] pin-to-pin Response time, low current 50 mV overdrive, measured – 155 200 ns TRESP mode[52] pin-to-pin Response time, ultra low power 50 mV overdrive, measured – 55 – µs mode[52] pin-to-pin Notes 52.Based on device characterization (Not production tested). 53.The recommended procedure for using a custom trim value for the on-chip comparators can be found in the TRM. Document Number: 001-84932 Rev. ** Page 88 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.5.7 Current Digital-to-analog Converter (IDAC) All specifications are based on use of the low-resistance IDAC output pins (see Pin Descriptions on page 9 for details). See the IDAC component data sheet in PSoC Creator for full electrical specifications and APIs. Unless otherwise specified, all charts and graphs show typical values. Table 11-34. IDAC DC Specifications Parameter Description Conditions Min Typ Max Units Resolution – – 8 bits I Output current at code = 255 Range = 2.04 mA, code = 255, – 2.04 – mA OUT VDDA ≥ 2.7 V, Rload = 600 Ω Range = 2.04 mA, High mode, – 2.04 – mA code = 255, V ≤ 2.7 V, DDA Rload = 300Ω Range = 255 µA, code = 255, – 255 – µA Rload = 600 Ω Range = 31.875 µA, code = 255, – 31.875 – µA Rload = 600 Ω Monotonicity – – Yes Ezs Zero scale error – 0 ±1 LSB Eg Gain error Range = 2.04 mA – – ±2.5 % Range = 255 µA – – ±2.5 % Range = 31.875 µA – – ±3.5 % TC_Eg Temperature coefficient of gain Range = 2.04 mA – – 0.045 % / °C error Range = 255 µA – – 0.045 % / °C Range = 31.875 µA – – 0.05 % / °C INL Integral nonlinearity Sink mode, range = 255 µA, Codes – ±0.9 ±1 LSB 8 – 255, Rload = 2.4 kΩ, Cload = 15 pF Source mode, range = 255 µA, – ±1.2 ±1.5 LSB Codes 8 – 255, Rload = 2.4 kΩ, Cload = 15 pF Source mode, range = 31.875 µA, – ±0.9 ±2 LSB Codes 8 - 255, Rload = 20 kΩ, Cload = 15 pF[55] Sink mode, range = 31.875 µA, – ±0.9 ±2 LSB Codes 8 - 255, Rload = 20 kΩ, Cload = 15 pF[55] Souce mode, range = 2.04 mA, – ±0.9 ±2 LSB Codes 8 - 255, Rload = 600 Ω, Cload = 15 pF[55] Sink mode, range = 2.04 mA, – ±0.6 ±1 LSB Codes 8 - 255, Rload = 600 Ω, Cload = 15 pF[55] Notes 54.The recommended procedure for using a custom trim value for the on-chip comparators can be found in the TRM. 55.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 89 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Table 11-34. IDAC DC Specifications (continued) Parameter Description Conditions Min Typ Max Units DNL Differential nonlinearity Sink mode, range = 255 µA, – ±0.3 ±1 LSB Rload = 2.4kΩ, Cload = 15 pF Source mode, range = 255 µA, – ±0.3 ±1 LSB Rload = 2.4 kΩ, Cload = 15 pF Source mode, range = 31.875 µA, – ±0.2 ±1 LSB Rload = 20 kΩ, Cload = 15 pF[56] Sink mode, range = 31.875 µA, – ±0.2 ±1 LSB Rload = 20 kΩ, Cload = 15 pF[56] Source mode, range = 2.0 4mA, – ±0.2 ±1 LSB Rload = 600 Ω, Cload = 15 pF[56] Sink mode, range = 2.0 4mA, – ±0.2 ±1 LSB Rload = 600 Ω, Cload = 15 pF[56] Vcompliance Dropout voltage, source or sink Voltage headroom at max current, 1 – – V mode Rload to V or Rload to V , DDA SSA V from V DIFF DDA I Operating current, code = 0 Slow mode, source mode, range = – 44 100 µA DD 31.875 µA Slow mode, source mode, range = – 33 100 µA 255 µA, Slow mode, source mode, range = – 33 100 µA 2.04 mA Slow mode, sink mode, range = – 36 100 µA 31.875 µA Slow mode, sink mode, range = – 33 100 µA 255 µA Slow mode, sink mode, range = – 33 100 µA 2.04 mA Fast mode, source mode, range = – 310 500 µA 31.875 µA Fast mode, source mode, range = – 305 500 µA 255 µA Fast mode, source mode, range = – 305 500 µA 2.04 mA Fast mode, sink mode, range = – 310 500 µA 31.875 µA Fast mode, sink mode, range = – 300 500 µA 255µA Fast mode, sink mode, range = – 300 500 µA 2.04 mA Note 56.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 90 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-41. IDAC INL vs Input Code, Range = 255 µA, Figure 11-42. IDAC INL vs Input Code, Range = 255 µA, Sink Source Mode Mode 1.5 1 0.5 B LS 0 , L, N I -0.5 -1 -1.5 0 32 64 96 128 160 192 224 256 Code, 8-bit Figure 11-43. IDAC DNL vs Input Code, Range = 255 µA, Figure 11-44. IDAC DNL vs Input Code, Range = 255 µA, Sink Source Mode Mode Figure 11-45. IDAC INL vs Temperature, Range = 255 µA, Figure 11-46. IDAC DNL vs Temperature, Range = 255 µA, Fast Mode Fast Mode Document Number: 001-84932 Rev. ** Page 91 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-47. IDAC Full Scale Error vs Temperature, Range Figure 11-48. IDAC Full Scale Error vs Temperature, Range = 255 µA, Source Mode = 255 µA, Sink Mode Figure 11-49. IDAC Operating Current vs Temperature, Figure 11-50. IDAC Operating Current vs Temperature, Range = 255 µA, Code = 0, Source Mode Range = 255 µA, Code = 0, Sink Mode Table 11-35. IDAC AC Specifications[57] Parameter Description Conditions Min Typ Max Units F Update rate – – 8 Msps DAC T Settling time to 0.5 LSB Range = 31.875 µA, full scale – – 125 ns SETTLE transition, fast mode, 600Ω 15-pF load Range = 255 µA, full scale – – 125 ns transition, fast mode, 600 Ω 15-pF load Current noise Range = 255µA, source mode, fast – 340 – pA/sqrtHz mode, Vdda = 5V, 10kHz Note 57.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 92 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-51. IDAC Step Response, Codes 0x40 - 0xC0, Figure 11-52. IDAC Glitch Response, Codes 0x7F - 0x80, 255 µA Mode, Source Mode, Fast Mode, Vdda = 5 V 255 µA Mode, Source Mode, Fast Mode, Vdda = 5 V Figure 11-53. IDAC PSRR vs Frequency Figure 11-54. IDAC Current Noise, 255µA Mode, Source Mode, Fast Mode, Vdda = 5 V 60 100000 50 Current Noise is proportional to Scale * Code 40 B 10000 d R, 30 PSRP 2200 qrtHz 1000 s A/A 10 p 100 0 0.1 1 10 100 1000 10000 10 Frequency, kHz 0.01 0.1 1 10 100 1000 255 (cid:2)A, code 0x7F 255 (cid:2)A, code 0xFF Frequency, kHz Code 0xFF Code 0x40 11.5.8 Voltage Digital to Analog Converter (VDAC) See the VDAC component datasheet in PSoC Creator for full electrical specifications and APIs. Unless otherwise specified, all charts and graphs show typical values. Table 11-36. VDAC DC Specifications Parameter Description Conditions Min Typ Max Units Resolution – 8 – bits INL1 Integral nonlinearity 1 V scale – ±2.1 ±2.5 LSB INL4 Integral nonlinearity[58] 4 V scale – ±2.1 ±2.5 LSB DNL1 Differential nonlinearity 1 V scale – ±0.3 ±1 LSB DNL4 Differential nonlinearity[58] 4 V scale – ±0.3 ±1 LSB Rout Output resistance 1 V scale – 4 – kΩ 4 V scale – 16 – kΩ V Output voltage range, code = 255 1 V scale – 1.02 – V OUT 4 V scale, Vdda = 5 V – 4.08 – V Note 58.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 93 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Table 11-36. VDAC DC Specifications (continued) Parameter Description Conditions Min Typ Max Units Monotonicity – – Yes – V Zero scale error – 0 ±0.9 LSB OS Eg Gain error 1 V scale – – ±2.5 % 4 V scale – – ±2.5 % TC_Eg Temperature coefficient, gain error 1 V scale – – 0.03 %FSR / °C 4 V scale – – 0.03 %FSR / °C I Operating current[59] Slow mode – – 100 µA DD Fast mode – – 500 µA Figure 11-55. VDAC INL vs Input Code, 1 V Mode Figure 11-56. VDAC DNL vs Input Code, 1 V Mode Figure 11-57. VDAC INL vs Temperature, 1 V Mode Figure 11-58. VDAC DNL vs Temperature, 1 V Mode Note 59.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 94 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-59. VDAC Full Scale Error vs Temperature, 1 V Figure 11-60. VDAC Full Scale Error vs Temperature, 4 V Mode Mode Figure 11-61. VDAC Operating Current vs Temperature, 1V Figure 11-62. VDAC Operating Current vs Temperature, 1 V Mode, Slow Mode Mode, Fast Mode Table 11-37. VDAC AC Specifications[60] Parameter Description Conditions Min Typ Max Units F Update rate 1 V scale – – 1000 ksps DAC 4 V scale – – 250 ksps TsettleP Settling time to 0.1%, step 25% to 1 V scale, Cload = 15 pF – 0.45 1 µs 75% 4 V scale, Cload = 15 pF – 0.8 3.2 µs TsettleN Settling time to 0.1%, step 75% to 1 V scale, Cload = 15 pF – 0.45 1 µs 25% 4 V scale, Cload = 15 pF – 0.7 3 µs Voltage noise Range = 1V, fast mode, Vdda = – 750 – nV/sqrtHz 5V, 10kHz Note 60.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 95 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-63. VDAC Step Response, Codes 0x40 - 0xC0, 1V Figure 11-64. VDAC Glitch Response, Codes 0x7F - 0x80, Mode, Fast Mode, Vdda = 5 V 1V Mode, Fast Mode, Vdda = 5 V 1 0.8 0.6 V ut, u o 0.4 V 0.2 0 0 0.5 1 1.5 2 Time, μs Figure 11-65. VDAC PSRR vs Frequency Figure 11-66. VDAC Voltage Noise, 1V Mode, Fast Mode, Vdda = 5V 50 100000 40 Voltage Noise is proportional to Scale * Code dB 30 10000 R, R S 20 PP z 1000 H 10 rtqr s nV/ 100 0 0.1 1 10 100 1000 Frequency, kHz 10 0.01 0.1 1 10 100 1000 4 V, code 0x7F 4 V, code 0xFF Frequency, kHz Code 0xFF Code 0x40 Document Number: 001-84932 Rev. ** Page 96 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.5.9 Mixer The mixer is created using a SC/CT analog block; see the Mixer component datasheet in PSoC Creator for full electrical specifications and APIs. Table 11-38. Mixer DC Specifications Parameter Description Conditions Min Typ Max Units V Input offset voltage High power mode, V = 1.024V, – – 15 mV OS IN V = 1.024V REF Quiescent current – 0.9 2 mA G Gain – 0 – dB Table 11-39. Mixer AC Specifications[61] Parameter Description Conditions Min Typ Max Units f Local oscillator frequency Down mixer mode – – 4 MHz LO f Input signal frequency Down mixer mode – – 14 MHz in f Local oscillator frequency Up mixer mode – – 1 MHz LO f Input signal frequency Up mixer mode – – 1 MHz in SR Slew rate 3 – – V/µs 11.5.10 Transimpedance Amplifier The TIA is created using a SC/CT analog block; see the TIA component datasheet in PSoC Creator for full electrical specifications and APIs. Table 11-40. Transimpedance Amplifier (TIA) DC Specifications Parameter Description Conditions Min Typ Max Units V Input offset voltage – – 10 mV IOFF Rconv Conversion resistance[62] R = 20K; 40 pF load –25 – +35 % R = 30K; 40 pF load –25 – +35 % R = 40K; 40 pF load –25 – +35 % R = 80K; 40 pF load –25 – +35 % R = 120K; 40 pF load –25 – +35 % R = 250K; 40 pF load –25 – +35 % R= 500K; 40 pF load –25 – +35 % R = 1M; 40 pF load –25 – +35 % Quiescent current[61] – 1.1 2 mA Table 11-41. Transimpedance Amplifier (TIA) AC Specifications[61] Parameter Description Conditions Min Typ Max Units BW Input bandwidth (–3 dB) R = 20K; –40 pF load 1200 – – kHz R = 120K; –40 pF load 240 – – kHz R = 1M; –40 pF load 25 – – kHz Notes 61.Based on device characterization (Not production tested). 62.Conversion resistance values are not calibrated. Calibrated values and details about calibration are provided in PSoC Creator component datasheets. External precision resistors can also be used. Document Number: 001-84932 Rev. ** Page 97 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.5.11 Programmable Gain Amplifier The PGA is created using a SC/CT analog block; see the PGA component datasheet in PSoC Creator for full electrical specifications and APIs. Unless otherwise specified, operating conditions are: ■Operating temperature = 25 °C for typical values ■Unless otherwise specified, all charts and graphs show typical values Table 11-42. PGA DC Specifications Parameter Description Conditions Min Typ Max Units Vin Input voltage range Power mode = minimum Vssa – Vdda V Vos Input offset voltage Power mode = high, – – 10 mV gain = 1 TCVos Input offset voltage drift Power mode = high, – – ±30 µV/°C with temperature gain = 1 Ge1 Gain error, gain = 1 – – ±0.15 % Ge16 Gain error, gain = 16 – – ±2.5 % Ge50 Gain error, gain = 50 – – ±5 % Vonl DC output nonlinearity Gain = 1 – – ±0.01 % of FSR Cin Input capacitance – – 7 pF Voh Output voltage swing Power mode = high, V – 0.15 – – V DDA gain = 1, Rload = 100 kΩ to V / 2 DDA Vol Output voltage swing Power mode = high, – – V + 0.15 V SSA gain = 1, Rload = 100 kΩ to V / 2 DDA Vsrc Output voltage under load Iload = 250 µA, Vdda ≥ – – 300 mV 2.7V, power mode = high Idd Operating current[63] Power mode = high – 1.5 1.65 mA PSRR Power supply rejection 48 – – dB ratio Figure 11-67. PGA Voffset Histogram, 4096 samples/ 1024 parts Note 63.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 98 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Table 11-43. PGA AC Specifications[64] Parameter Description Conditions Min Typ Max Units BW1 –3 dB bandwidth Power mode = high, 6.7 8 – MHz gain = 1, input = 100 mV peak-to-peak SR1 Slew rate Power mode = high, 3 – – V/µs gain = 1, 20% to 80% e Input noise density Power mode = high, – 43 – nV/sqrtHz n Vdda = 5 V, at 100 kHz Figure 11-68. Bandwidth vs. Temperature, at Different Gain Figure 11-69. Noise vs. Frequency, Vdda = 5V, Settings, Power Mode = High Power Mode = High 10 1000 Hz 1 Hz , MW qrtV/sq 100 B n 0.1 10 -40 -20 0 20 40 60 80 Temperature, °C 0.01 0.1 1 10 100 1000 Frequency, kHz Gain = 1 Gain = 24 Gain = 48 11.5.12 Temperature Sensor Table 11-44. Temperature Sensor Specifications Parameter Description Conditions Min Typ Max Units Temp sensor accuracy Range: –40 °C to +85 °C – ±5 – °C 11.5.13 LCD Direct Drive Table 11-43. LCD Direct Drive DC Specifications[64] Parameter Description Conditions Min Typ Max Units I LCD Block (no glass) Device sleep mode with wakeup at – 81 – μA CC 400Hz rate to refresh LCD, bus, clock = 3MHz, Vddio = Vdda = 3V, 8 commons, 16 segments, 1/5 duty cycle, 40 Hz frame rate, no glass connected I Current per segment driver Strong drive mode – 260 – µA CC_SEG V LCD bias range (V refers to the V ≥ 3V and V ≥ V 2 – 5 V BIAS BIAS DDA DDA BIAS main output voltage(V0) of LCD DAC) LCD bias step size V ≥ 3V and V ≥ V – 9.1 × V – mV DDA DDA BIAS DDA LCD capacitance per segment/ Drivers may be combined – 500 5000 pF common driver Maximum segment DC offset V ≥ 3V and V ≥ V – – 20 mV DDA DDA BIAS I Output drive current per segment V = 5.5V, strong drive mode 355 – 710 µA OUT DDIO driver) Note 64.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 99 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Table 11-44. LCD Direct Drive AC Specifications Parameter Description Conditions Min Typ Max Units f LCD frame rate 10 50 150 Hz LCD 11.6 Digital Peripherals Specifications are valid for –40 °C ≤ T ≤ 85 °C and T ≤ 100 °C, except where noted. Specifications are valid for 1.71V to 5.5V, A J except where noted. 11.6.1 Timer The following specifications apply to the Timer/Counter/PWM peripheral in timer mode. Timers can also be implemented in UDBs; for more information, see the Timer component datasheet in PSoC Creator. Table 11-45. Timer DC Specifications Parameter Description Conditions Min Typ Max Units Block current consumption 16-bit timer, at listed input clock – – – µA frequency 3 MHz – 15 – µA 12 MHz – 60 – µA 48 MHz – 260 – µA 67 MHz – 350 – µA Table 11-46. Timer AC Specifications Parameter Description Conditions Min Typ Max Units Operating frequency DC – 67.01 MHz Capture pulse width (Internal)[65] 15 – – ns Capture pulse width (external) 30 – – ns Timer resolution[65] 15 – – ns Enable pulse width[65] 15 – – ns Enable pulse width (external) 30 – – ns Reset pulse width[65] 15 – – ns Reset pulse width (external) 30 – – ns 11.6.2 Counter The following specifications apply to the Timer/Counter/PWM peripheral, in counter mode. Counters can also be implemented in UDBs; for more information, see the Counter component datasheet in PSoC Creator. Table 11-47. Counter DC Specifications Parameter Description Conditions Min Typ Max Units Block current consumption 16-bit counter, at listed input clock – – – µA frequency 3 MHz – 15 – µA 12 MHz – 60 – µA 48 MHz – 260 – µA 67 MHz – 350 – µA Note 65.For correct operation, the minimum Timer/Counter/PWM input pulse width is the period of bus clock. Document Number: 001-84932 Rev. ** Page 100 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Table 11-48. Counter AC Specifications Parameter Description Conditions Min Typ Max Units Operating frequency DC – 67.01 MHz Capture pulse[66] 15 – – ns Resolution[66] 15 – – ns Pulse width[66] 15 – – ns Pulse width (external) 30 ns Enable pulse width[66] 15 – – ns Enable pulse width (external) 30 – – ns Reset pulse width[66] 15 – – ns Reset pulse width (external) 30 – – ns 11.6.3 Pulse Width Modulation The following specifications apply to the Timer/Counter/PWM peripheral, in PWM mode. PWM components can also be implemented in UDBs; for more information, see the PWM component datasheet in PSoC Creator. Table 11-49. PWM DC Specifications Parameter Description Conditions Min Typ Max Units Block current consumption 16-bit PWM, at listed input clock – – – µA frequency 3 MHz – 15 – µA 12 MHz – 60 – µA 48 MHz – 260 – µA 67 MHz – 350 – µA Table 11-50. PWM AC Specifications Parameter Description Conditions Min Typ Max Units Operating frequency DC – 67.01 MHz Pulse width[66] 15 – – ns Pulse width (external) 30 – – ns Kill pulse width[66] 15 – – ns Kill pulse width (external) 30 – – ns Enable pulse width[66] 15 – – ns Enable pulse width (external) 30 – – ns Reset pulse width[66] 15 – – ns Reset pulse width (external) 30 – – ns 11.6.4 I2C Table 11-51. Fixed I2C DC Specifications Parameter Description Conditions Min Typ Max Units Block current consumption Enabled, configured for 100 kbps – – 250 µA Enabled, configured for 400 kbps – – 260 µA Note 66.For correct operation, the minimum Timer/Counter/PWM input pulse width is the period of bus clock. Document Number: 001-84932 Rev. ** Page 101 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Table 11-52. Fixed I2C AC Specifications Parameter Description Conditions Min Typ Max Units Bit rate – – 1 Mbps 11.6.5 Controller Area Network[67] Table 11-55. CAN DC Specifications Parameter Description Conditions Min Typ Max Units I Block current consumption – – 200 µA DD Table 11-56. CAN AC Specifications Parameter Description Conditions Min Typ Max Units Bit rate Minimum 8 MHz clock – – 1 Mbit 11.6.6 Digital Filter Block Table 11-57. DFB DC Specifications Parameter Description Conditions Min Typ Max Units DFB operating current 64-tap FIR at F DFB 500 kHz (6.7 ksps) – 0.16 0.27 mA 1 MHz (13.4 ksps) – 0.33 0.53 mA 10 MHz (134 ksps) – 3.3 5.3 mA 48 MHz (644 ksps) – 15.7 25.5 mA 67 MHz (900 ksps) – 21.8 35.6 mA Table 11-58. DFB AC Specifications Parameter Description Conditions Min Typ Max Units F DFB operating frequency DC – 67.01 MHz DFB Note 67.Refer to ISO 11898 specification for details. Document Number: 001-84932 Rev. ** Page 102 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.6.7 USB Table 11-59. USB DC Specifications Parameter Description Conditions Min Typ Max Units V Device supply for USB operation USB configured, USB regulator 4.35 – 5.25 V USB_5 enabled V USB configured, USB regulator 3.15 – 3.6 V USB_3.3 bypassed V USB configured, USB regulator 2.85 – 3.6 V USB_3 bypassed[68] I Device supply current in device active V = 5 V, F = 1.5 MHz – 10 – mA USB_Configured DDD CPU mode, bus clock and IMO = 24 MHz V = 3.3 V, F = 1.5 MHz – 8 – mA DDD CPU I Device supply current in device sleep V = 5 V, connected to USB – 0.5 – mA USB_Suspended DDD mode host, PICU configured to wake on USB resume signal V = 5 V, disconnected from – 0.3 – mA DDD USB host V = 3.3 V, connected to USB – 0.5 – mA DDD host, PICU configured to wake on USB resume signal V = 3.3 V, disconnected from – 0.3 – mA DDD USB host 11.6.8 Universal Digital Blocks (UDBs) PSoC Creator provides a library of pre-built and tested standard digital peripherals (UART, SPI, LIN, PRS, CRC, timer, counter, PWM, AND, OR, and so on) that are mapped to the UDB array. See the component datasheets in PSoC Creator for full AC/DC specifications, APIs, and example code. Table 11-60. UDB AC Specifications Parameter Description Conditions Min Typ Max Units Datapath Performance F Maximum frequency of 16-bit timer in – – 67.01 MHz MAX_TIMER a UDB pair F Maximum frequency of 16-bit adder in – – 67.01 MHz MAX_ADDER a UDB pair F Maximum frequency of 16-bit – – 67.01 MHz MAX_CRC CRC/PRS in a UDB pair PLD Performance F Maximum frequency of a two-pass – – 67.01 MHz MAX_PLD PLD function in a UDB pair Clock to Output Performance t Propagation delay for clock in to data 25 °C, Vddd ≥ 2.7 V – 20 25 ns CLK_OUT out, see Figure11-70. t Propagation delay for clock in to data Worst-case placement, routing, – – 55 ns CLK_OUT out, see Figure11-70. and pin selection Note 68.Rise/fall time matching (TR) not guaranteed, see USB Driver AC Specifications[37] on page 76. Document Number: 001-84932 Rev. ** Page 103 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-70. Clock to Output Performance 11.7 Memory Specifications are valid for –40 °C ≤ T ≤ 85 °C and T ≤ 100 °C, except where noted. Specifications are valid for 1.71V to 5.5V, A J except where noted. 11.7.1 Flash Table 11-61. Flash DC Specifications Parameter Description Conditions Min Typ Max Units Erase and program voltage V pin 1.71 – 5.5 V DDD Table 11-62. Flash AC Specifications Parameter Description Conditions Min Typ Max Units T Row write time (erase + program) – 15 20 ms WRITE T Row erase time – 10 13 ms ERASE Row program time – 5 7 ms T Bulk erase time (256 KB) – – 140 ms BULK Sector erase time (16 KB) – – 15 ms T Total device programming time No overhead[69] – 5 7.5 seconds PROG Flash data retention time, retention Average ambient temp. 20 – – years period measured from last erase cycle T ≤ 55°C, 100 K erase/ A program cycles Average ambient temp. 10 – – T ≤ 85 °C, 10K erase/ A program cycles Note 69.See PSoC 5 Device Programming Specifications for a description of a low-overhead method of programming PSoC 5 flash. Document Number: 001-84932 Rev. ** Page 104 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.7.2 EEPROM Table 11-63. EEPROM DC Specifications Parameter Description Conditions Min Typ Max Units Erase and program voltage 1.71 – 5.5 V Table 11-64. EEPROM AC Specifications Parameter Description Conditions Min Typ Max Units T Single row erase/write cycle time – 10 20 ms WRITE EEPROM data retention time, retention Average ambient temp, T ≤ 25 °C, 20 – – years A period measured from last erase cycle 1M erase/program cycles Average ambient temp, T ≤ 55 °C, 20 – – A 100K erase/program cycles Average ambient temp. T ≤ 85 °C, 10 – – A 10K erase/program cycles 11.7.3 Nonvolatile Latches (NVL) Table 11-65. NVL DC Specifications Parameter Description Conditions Min Typ Max Units Erase and program voltage V pin 1.71 – 5.5 V DDD Table 11-66. NVL AC Specifications Parameter Description Conditions Min Typ Max Units NVL endurance Programmed at 25 °C 1K – – program/ erase cycles Programmed at 0 °C to 70 °C 100 – – program/ erase cycles NVL data retention time Average ambient temp. T ≤ 55 °C 20 – – years A Average ambient temp. T ≤ 85 °C 10 – – years A 11.7.4 SRAM Table 11-67. SRAM DC Specifications Parameter Description Conditions Min Typ Max Units V SRAM retention voltage 1.2 – – V SRAM Table 11-68. SRAM AC Specifications Parameter Description Conditions Min Typ Max Units F SRAM operating frequency DC – 67.01 MHz SRAM Document Number: 001-84932 Rev. ** Page 105 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.7.5 External Memory Interface Figure 11-71. Asynchronous Write and Read Cycle Timing, No Wait States Tbus_clock Bus Clock EM_Addr EM_CE EM_WE EM_OE Twr_setup Trd_setup Trd_hold EM_Data Write Cycle Read Cycle Minimum of 4 bus clock cycles between successive EMIF accesses Table 11-69. Asynchronous Write and Read Timing Specifications Parameter Description Conditions Min Typ[26] Max Units Fbus_clock Bus clock frequency[70] – – 33 MHz Tbus_clock Bus clock period[71] 30.3 – – ns Twr_Setup Time from EM_data valid to rising edge of Tbus_clock – 10 – – ns EM_WE and EM_CE Trd_setup Time that EM_data must be valid before rising 5 – – ns edge of EM_OE Trd_hold Time that EM_data must be valid after rising 5 – – ns edge of EM_OE Notes 70.EMIF signal timings are limited by GPIO frequency limitations. See “GPIO” section on page69. 71.EMIF output signals are generally synchronized to bus clock, so EMIF signal timings are dependent on bus clock frequency. Document Number: 001-84932 Rev. ** Page 106 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 11-72. Synchronous Write and Read Cycle Timing, No Wait States Tbus_clock Bus Clock EM_Clock EM_Addr EM_CE EM_ADSC EM_WE EM_OE Twr_setup Trd_setup Trd_hold EM_Data Write Cycle Read Cycle Minimum of 4 bus clock cycles between successive EMIF accesses Table 11-70. Synchronous Write and Read Timing Specifications Parameter Description Conditions Min Typ[26] Max Units Fbus_clock Bus clock frequency[72] – – 33 MHz Tbus_clock Bus clock period[73] 30.3 – – ns Twr_Setup Time from EM_data valid to rising edge of Tbus_clock – 10 – – ns EM_Clock Trd_setup Time that EM_data must be valid before rising 5 – – ns edge of EM_OE Trd_hold Time that EM_data must be valid after rising 5 – – ns edge of EM_OE Notes 72.EMIF signal timings are limited by GPIO frequency limitations. See “GPIO” section on page69. 73.EMIF output signals are generally synchronized to bus clock, so EMIF signal timings are dependent on bus clock frequency. Document Number: 001-84932 Rev. ** Page 107 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.8 PSoC System Resources Specifications are valid for –40 °C ≤ T ≤ 85 °C and T ≤ 100 °C, except where noted. Specifications are valid for 1.71V to 5.5V, A J except where noted. 11.8.1 POR with Brown Out For brown out detect in regulated mode, V and V must be ≥ 2.0 V. Brown out detect is not available in externally regulated DDD DDA mode. Table 11-71. Precise Low-Voltage Reset (PRES) with Brown Out DC Specifications Parameter Description Conditions Min Typ Max Units PRESR Rising trip voltage Factory trim 1.64 – 1.68 V PRESF Falling trip voltage 1.62 – 1.66 V Table 11-72. Power-On-Reset (POR) with Brown Out AC Specifications Parameter Description Conditions Min Typ Max Units PRES_TR[74] Response time – – 0.5 µs V /V droop rate Sleep mode – 5 – V/sec DDD DDA 11.8.2 Voltage Monitors Table 11-73. Voltage Monitors DC Specifications Parameter Description Conditions Min Typ Max Units LVI Trip voltage LVI_A/D_SEL[3:0] = 0000b 1.68 1.73 1.77 V LVI_A/D_SEL[3:0] = 0001b 1.89 1.95 2.01 V LVI_A/D_SEL[3:0] = 0010b 2.14 2.20 2.27 V LVI_A/D_SEL[3:0] = 0011b 2.38 2.45 2.53 V LVI_A/D_SEL[3:0] = 0100b 2.62 2.71 2.79 V LVI_A/D_SEL[3:0] = 0101b 2.87 2.95 3.04 V LVI_A/D_SEL[3:0] = 0110b 3.11 3.21 3.31 V LVI_A/D_SEL[3:0] = 0111b 3.35 3.46 3.56 V LVI_A/D_SEL[3:0] = 1000b 3.59 3.70 3.81 V LVI_A/D_SEL[3:0] = 1001b 3.84 3.95 4.07 V LVI_A/D_SEL[3:0] = 1010b 4.08 4.20 4.33 V LVI_A/D_SEL[3:0] = 1011b 4.32 4.45 4.59 V LVI_A/D_SEL[3:0] = 1100b 4.56 4.70 4.84 V LVI_A/D_SEL[3:0] = 1101b 4.83 4.98 5.13 V LVI_A/D_SEL[3:0] = 1110b 5.05 5.21 5.37 V LVI_A/D_SEL[3:0] = 1111b 5.30 5.47 5.63 V HVI Trip voltage 5.57 5.75 5.92 V Table 11-74. Voltage Monitors AC Specifications Parameter Description Conditions Min Typ Max Units LVI_tr[74] Response time – – 1 µs Note 74.This value is calculated, not measured. Document Number: 001-84932 Rev. ** Page 108 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.8.3 Interrupt Controller Table 11-75. Interrupt Controller AC Specifications Parameter Description Conditions Min Typ Max Units Delay from interrupt signal input to ISR – – 12 Tcy CPU code execution from main line code[75] Delay from interrupt signal input to ISR – – 6 Tcy CPU code execution from ISR code (tail-chaining)[75] 11.8.4 JTAG Interface Figure 11-73. JTAG Interface Timing (1/f_TCK) TCK T_TDI_setup T_TDI_hold TDI T_TDO_valid T_TDO_hold TDO T_TMS_setup T_TMS_hold TMS Table 11-76. JTAG Interface AC Specifications[76] Parameter Description Conditions Min Typ Max Units f_TCK TCK frequency 3.3V ≤ V ≤ 5V – – 12[77] MHz DDD 1.71V ≤ V < 3.3V – – 7[77] MHz DDD T_TDI_setup TDI setup before TCK high (T/10) – 5 – – ns T_TMS_setup TMS setup before TCK high T/4 – – T_TDI_hold TDI, TMS hold after TCK high T = 1/f_TCK max T/4 – – T_TDO_valid TCK low to TDO valid T = 1/f_TCK max – – 2T/5 T_TDO_hold TDO hold after TCK high T = 1/f_TCK max T/4 – – T_nTRST Minimum nTRST pulse width f_TCK = 2 MHz 8 – – ns Notes 75.ARM Cortex-M3 NVIC spec. Visit www.arm.com for detailed documentation about the Cortex-M3 CPU. 76.Based on device characterization (Not production tested). 77.f_TCK must also be no more than 1/3 CPU clock frequency. Document Number: 001-84932 Rev. ** Page 109 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.8.5 SWD Interface Figure 11-74. SWD Interface Timing (1/f_SWDCK) SWDCK T_SWDI_setup T_SWDI_hold SWDIO (PSoC input) T_SWDO_valid T_SWDO_hold SWDIO (PSoC output) Table 11-77. SWD Interface AC Specifications[79] Parameter Description Conditions Min Typ Max Units f_SWDCK SWDCLK frequency 3.3V ≤ V ≤ 5V – – 12[81] MHz DDD 1.71V ≤ V < 3.3V – – 7[81] MHz DDD 1.71V ≤ V < 3.3V, SWD over – – 5.5[81] MHz DDD USBIO pins T_SWDI_setup SWDIO input setup before SWDCK high T = 1/f_SWDCK max T/4 – – T_SWDI_hold SWDIO input hold after SWDCK high T = 1/f_SWDCK max T/4 – – T_SWDO_valid SWDCK high to SWDIO output T = 1/f_SWDCK max – – T/2 T_SWDO_hold SWDIO output hold after SWDCK high T = 1/f_SWDCK max 1 – – ns 11.8.6 TPIU Interface Table 11-78. TPIU Interface AC Specifications[79] Parameter Description Conditions Min Typ Max Units TRACEPORT (TRACECLK) frequency – – 33[82] MHz SWV bit rate – – 33[82] Mbit Notes 78.ARM Cortex-M3 NVIC spec. Visit www.arm.com for detailed documentation about the Cortex-M3 CPU. 79.Based on device characterization (Not production tested). 80.f_TCK must also be no more than 1/3 CPU clock frequency. 81.f_SWDCK must also be no more than 1/3 CPU clock frequency. 82.TRACEPORT signal frequency and bit rate are limited by GPIO output frequency, see “GPIO AC Specifications[31]” on page70. Document Number: 001-84932 Rev. ** Page 110 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.9 Clocking Specifications are valid for –40 °C ≤ T ≤ 85 °C and T ≤ 100 °C, except where noted. Specifications are valid for 1.71V to 5.5V, A J except where noted. Unless otherwise specified, all charts and graphs show typical values 11.9.1 Internal Main Oscillator Table 11-79. IMO DC Specifications Parameter Description Conditions Min Typ Max Units Supply current 62.6 MHz – – 600 µA 48 MHz – – 500 µA 24 MHz – USB mode With oscillator locking to USB bus – – 500 µA Icc_imo 24 MHz – non-USB mode – – 300 µA 12 MHz – – 200 µA 6 MHz – – 180 µA 3 MHz – – 150 µA Figure 11-75. IMO Current vs. Frequency Table 11-80. IMO AC Specifications Parameter Description Conditions Min Typ Max Units IMO frequency stability (with factory trim) 62.6 MHz –7 – 7 % 48 MHz –5 – 5 % 24 MHz – non-USB mode –4 – 4 % F IMO 24 MHz – USB mode With oscillator locking to USB bus –0.25 – 0.25 % 12 MHz –3 – 3 % 6 MHz –2 – 2 % 3 MHz –1 – 1 % Tstart_imo Startup time[83] From enable (during normal system – – 13 µs operation) Note 83.Based on device characterization (Not production tested). Document Number: 001-84932 Rev. ** Page 111 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Table 11-80. IMO AC Specifications (continued) Parameter Description Conditions Min Typ Max Units Jitter (peak to peak)[84] Jp-p F = 24 MHz – 0.9 – ns F = 3 MHz – 1.6 – ns Jitter (long term)[85] Jperiod F = 24 MHz – 0.9 – ns F = 3 MHz – 12 – ns Figure 11-76. IMO Frequency Variation vs. Temperature Figure 11-77. IMO Frequency Variation vs. V CC Note 84.Based on device characterization (Not production tested). 85.Based on device characterization (Not production tested). USBIO pins tied to ground (VSSD). Document Number: 001-84932 Rev. ** Page 112 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.0.1 Internal Low-Speed Oscillator Table 11-81. ILO DC Specifications Parameter Description Conditions Min Typ Max Units Operating current[86] F = 1 kHz – – 1.7 µA OUT I F = 33 kHz – – 2.6 µA CC OUT F = 100 kHz – – 2.6 µA OUT Leakage current[86] Power down mode – – 15 nA Table 11-82. ILO AC Specifications Parameter Description Conditions Min Typ Max Units Tstart_ilo Startup time, all frequencies Turbo mode – – 2 ms ILO frequencies (trimmed) 100 kHz 45 100 200 kHz 1 kHz 0.5 1 2 kHz F ILO ILO frequencies (untrimmed) 100 kHz 30 100 300 kHz 1 kHz 0.3 1 3.5 kHz Figure 11-78. ILO Frequency Variation vs. Temperature Figure 11-79. ILO Frequency Variation vs. V DD 50 20 25 10 n o n iatiVari 00 iatioari 00 % % V 100 kHz -25 100 kHz 1 kHz -10 1 kHz -50 -20 -40 -20 0 20 40 60 80 1.5 2.5 3.5 4.5 5.5 Temperature, °C V , V DDD Note 86.This value is calculated, not measured. Document Number: 001-84932 Rev. ** Page 113 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 11.9.4 MHz External Crystal Oscillator For more information on crystal or ceramic resonator selection for the MHzECO, refer to application note AN54439: PSoC3 and PSoC5 External Oscillators. Table 11-83. MHzECO AC Specifications Parameter Description Conditions Min Typ Max Units F Crystal frequency range 4 – 25 MHz 11.9.5 kHz External Crystal Oscillator Table 11-84. kHzECO DC Specifications[83] Parameter Description Conditions Min Typ Max Units I Operating current Low power mode; CL = 6pF – 0.25 1.0 µA CC DL Drive level – – 1 µW Table 11-85. kHzECO AC Specifications Parameter Description Conditions Min Typ Max Units F Frequency – 32.768 – kHz T Startup time High power mode – 1 – s ON 11.9.6 External Clock Reference Table 11-86. External Clock Reference AC Specifications[87] Parameter Description Conditions Min Typ Max Units External frequency range 0 – 33 MHz Input duty cycle range Measured at V /2 30 50 70 % DDIO Input edge rate V to V 0.5 – – V/ns IL IH 11.9.7 Phase-Locked Loop Table 11-87. PLL DC Specifications Parameter Description Conditions Min Typ Max Units I PLL operating current In = 3 MHz, Out = 67 MHz – 400 – µA DD In = 3 MHz, Out = 24 MHz – 200 – µA Table 11-88. PLL AC Specifications Parameter Description Conditions Min Typ Max Units Fpllin PLL input frequency[88] 1 – 48 MHz PLL intermediate frequency[89] Output of prescaler 1 – 3 MHz Fpllout PLL output frequency[88] 24 – 67 MHz Lock time at startup – – 250 µs Jperiod-rms Jitter (rms)[87] – – 250 ps Notes 87.Based on device characterization (Not production tested). 88.This specification is guaranteed by testing the PLL across the specified range using the IMO as the source for the PLL. 89.PLL input divider, Q, must be set so that the input frequency is divided down to the intermediate frequency range. Value for Q ranges from 1 to 16. Document Number: 001-84932 Rev. ** Page 114 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 12. Ordering Information In addition to the features listed in Table12-1, every CY8C58LP device includes: up to 256 KB flash, 64 KB SRAM, 2 KB EEPROM, a precision on-chip voltage reference, precision oscillators, flash, ECC, DMA, a fixed function I2C, JTAG/SWD programming and debug, external memory interface, boost, and more. In addition to these features, the flexible UDBs and analog subsection support a wide range of peripherals. To assist you in selecting the ideal part, PSoC Creator makes a part recommendation after you choose the components required by your application. All CY8C58LP derivatives incorporate device and flash security in user-selectable security levels; see the TRM for details. Table 12-1. CY8C58LP Family with ARM Cortex-M3 CPU MCU Core Analog Digital I/O[92] e v Part Number CPU Speed (MHz) Flash (KB) SRAM (KB) EEPROM (KB) LCD Segment Dri ADCs DAC Comparators SC/CT[90]Analog BlocksOpamps DFB CapSense[91]UDBs 16-bit Timer/PWM FS USB CAN 2.0b Total I/O GPIO SIO USBIO Package JTAG ID[93] CY8C5868AXI-LP031 67 256 64 2 ✔ 1x20-bit Del-Sig 4 4 4 4 ✔ ✔ 24 4 – – 70 62 8 0 100-TQFP 0x2E11F069 2x12-bit SAR CY8C5868AXI-LP032 67 256 64 2 ✔ 1x20-bit Del-Sig 4 4 4 4 ✔ ✔ 24 4 ✔ – 72 62 8 2 100-TQFP 0x2E120069 2x12-bit SAR CY8C5868AXI-LP035 67 256 64 2 ✔ 1x20-bit Del-Sig 4 4 4 4 ✔ ✔ 24 4 ✔ ✔ 72 62 8 2 100-TQFP 0x2E123069 2x12-bit SAR CY8C5868LTI-LP036 67 256 64 2 ✔ 1x20-bit Del-Sig 4 4 4 2 ✔ ✔ 24 4 – – 46 38 8 0 68-QFN 0x2E124069 2x12-bit SAR CY8C5868LTI-LP038 67 256 64 2 ✔ 1x20-bit Del-Sig 4 4 4 2 ✔ ✔ 24 4 ✔ – 48 38 8 2 68-QFN 0x2E126069 2x12-bit SAR CY8C5868LTI-LP039 67 256 64 2 ✔ 1x20-bit Del-Sig 4 4 4 2 ✔ ✔ 24 4 ✔ ✔ 48 38 8 2 68-QFN 0x2E127069 2x12-bit SAR CY8C5867AXI-LP023 67 128 32 2 ✔ 1x20-bit Del-Sig 4 4 4 4 ✔ ✔ 24 4 – – 70 62 8 0 100-TQFP 0x2E117069 1x12-bit SAR CY8C5867AXI-LP024 67 128 32 2 ✔ 1x20-bit Del-Sig 4 4 4 4 ✔ ✔ 24 4 ✔ – 72 62 8 2 100-TQFP 0x2E118069 1x12-bit SAR CY8C5867LTI-LP025 67 128 32 2 ✔ 1x20-bit Del-Sig 4 4 4 2 ✔ ✔ 24 4 – – 46 38 8 0 68-QFN 0x2E119069 1x12-bit SAR CY8C5867LTI-LP028 67 128 32 2 ✔ 1x20-bit Del-Sig 4 4 4 2 ✔ ✔ 24 4 ✔ – 48 38 8 2 68-QFN 0x2E11C069 1x12-bit SAR CY8C5866AXI-LP020 67 64 16 2 ✔ 1x20-bit Del-Sig 4 4 4 4 ✔ ✔ 20 4 ✔ ✔ 72 62 8 2 100-TQFP 0x2E114069 1x12-bit SAR CY8C5866AXI-LP021 67 64 16 2 ✔ 1x20-bit Del-Sig 4 4 4 4 ✔ ✔ 20 4 ✔ – 72 62 8 2 100-TQFP 0x2E115069 1x12-bit SAR CY8C5866LTI-LP022 67 64 16 2 ✔ 1x20-bit Del-Sig 4 4 4 2 ✔ ✔ 20 4 ✔ – 48 38 8 2 68-QFN 0x2E116069 1x12-bit SAR Notes 90.Analog blocks support a wide variety of functionality including TIA, PGA, and mixers. See Example Peripherals on page 36 for more information on how analog blocks can be used. 91.UDBs support a wide variety of functionality including SPI, LIN, UART, timer, counter, PWM, PRS, and others. Individual functions may use a fraction of a UDB or multiple UDBs. Multiple functions can share a single UDB. See Example Peripherals on page 36 for more information on how UDBs can be used. 92.The I/O Count includes all types of digital I/O: GPIO, SIO, and the two USB I/O. See “I/O System and Routing” section on page29 for details on the functionality of each of these types of I/O. 93.The JTAG ID has three major fields. The most significant nibble (left digit) is the version, followed by a 2 byte part number and a 3 nibble manufacturer ID. Document Number: 001-84932 Rev. ** Page 115 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 12.1 Part Numbering Conventions PSoC 5LP devices follow the part numbering convention described here. All fields are single character alphanumeric (0, 1, 2, …, 9, A, B, …, Z) unless stated otherwise. CY8Cabcdefg-LPxxx ■a: Architecture ■ef: Package code ❐3: PSoC 3 ❐Two character alphanumeric ❐5: PSoC 5 ❐AX: TQFP ❐LT: QFN ■b: Family group within architecture ❐PV: SSOP ❐2: CY8C52LP family ❐4: CY8C54LP family ■g: Temperature range ❐6: CY8C56LP family ❐C: commercial ❐8: CY8C58LP family ❐I: industrial ❐A: automotive ■c: Speed grade ❐6: 67 MHz ■xxx: Peripheral set ❐Three character numeric ■d: Flash capacity ❐No meaning is associated with these three characters ❐5: 32 KB ❐6: 64 KB ❐7: 128 KB ❐8: 256 KB Examples CY8C 5 8 6 8 AX/PV I -LPx x x Cypress Prefix 5: PSoC 5 Architecture 8: CY8C58LP Family Family Group within Architecture 6: 67 MHz Speed Grade 8: 256 KB Flash Capacity AX: TQFP, PV: SSOP Package Code I: Industrial Temperature Range Peripheral Set All devices in the PSoC 5LP CY8C58LP family comply to RoHS-6 specifications, demonstrating the commitment by Cypress to lead-free products. Lead (Pb) is an alloying element in solders that has resulted in environmental concerns due to potential toxicity. Cypress uses nickel-palladium-gold (NiPdAu) technology for the majority of leadframe-based packages. A high level review of the Cypress Pb-free position is available on our website. Specific package information is also available. Package Material Declaration Datasheets (PMDDs) identify all substances contained within Cypress packages. PMDDs also confirm the absence of many banned substances. The information in the PMDDs will help Cypress customers plan for recycling or other “end of life” requirements. Document Number: 001-84932 Rev. ** Page 116 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 13. Packaging Table 13-1. Package Characteristics Parameter Description Conditions Min Typ Max Units T Operating ambient temperature –40 25 85 °C A T Operating junction temperature –40 – 100 °C J T Package θ (68-pin QFN) – 15 – °C/Watt JA JA T Package θ (100-pin TQFP) – 34 – °C/Watt JA JA T Package θ (68-pin QFN) – 13 – °C/Watt JC JC T Package θ (100-pin TQFP) – 10 – °C/Watt JC JC Table 13-2. Solder Reflow Peak Temperature Maximum Peak Maximum Time at Package Temperature Peak Temperature 68-pin QFN 260 °C 30 seconds 100-pin TQFP 260 °C 30 seconds Table 13-3. Package Moisture Sensitivity Level (MSL), IPC/JEDEC J-STD-2 Package MSL 68-pinQFN MSL 3 100-pinTQFP MSL 3 Document Number: 001-84932 Rev. ** Page 117 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Figure 13-1. 68-pin QFN 8x8 with 0.4 mm Pitch Package Outline (Sawn Version) 001-09618 *E Figure 13-2. 100-pin TQFP (14 x 14 x 1.4 mm) Package Outline 51-85048 *G Document Number: 001-84932 Rev. ** Page 118 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 14. Acronyms Table 14-1. Acronyms Used in this Document (continued) Table 14-1. Acronyms Used in this Document Acronym Description FIR finite impulse response, see also IIR Acronym Description FPB flash patch and breakpoint abus analog local bus FS full-speed ADC analog-to-digital converter GPIO general-purpose input/output, applies to a PSoC AG analog global pin AHB AMBA (advanced microcontroller bus archi- HVI high-voltage interrupt, see also LVI, LVD tecture) high-performance bus, an ARM data transfer bus IC integrated circuit ALU arithmetic logic unit IDAC current DAC, see also DAC, VDAC AMUXBUS analog multiplexer bus IDE integrated development environment API application programming interface I2C, or IIC Inter-Integrated Circuit, a communications protocol APSR application program status register ARM® advanced RISC machine, a CPU architecture IIR infinite impulse response, see also FIR ILO internal low-speed oscillator, see also IMO ATM automatic thump mode IMO internal main oscillator, see also ILO BW bandwidth INL integral nonlinearity, see also DNL CAN Controller Area Network, a communications protocol I/O input/output, see also GPIO, DIO, SIO, USBIO CMRR common-mode rejection ratio IPOR initial power-on reset CPU central processing unit IPSR interrupt program status register CRC cyclic redundancy check, an error-checking IRQ interrupt request protocol ITM instrumentation trace macrocell DAC digital-to-analog converter, see also IDAC, VDAC LCD liquid crystal display DFB digital filter block LIN Local Interconnect Network, a communications DIO digital input/output, GPIO with only digital protocol. capabilities, no analog. See GPIO. LR link register DMA direct memory access, see also TD LUT lookup table DNL differential nonlinearity, see also INL LVD low-voltage detect, see also LVI DNU do not use LVI low-voltage interrupt, see also HVI DR port write data registers LVTTL low-voltage transistor-transistor logic DSI digital system interconnect MAC multiply-accumulate DWT data watchpoint and trace MCU microcontroller unit ECC error correcting code MISO master-in slave-out ECO external crystal oscillator NC no connect EEPROM electrically erasable programmable read-only NMI nonmaskable interrupt memory NRZ non-return-to-zero EMI electromagnetic interference NVIC nested vectored interrupt controller EMIF external memory interface NVL nonvolatile latch, see also WOL EOC end of conversion opamp operational amplifier EOF end of frame PAL programmable array logic, see also PLD EPSR execution program status register PC program counter ESD electrostatic discharge PCB printed circuit board ETM embedded trace macrocell PGA programmable gain amplifier Document Number: 001-84932 Rev. ** Page 119 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet Table 14-1. Acronyms Used in this Document (continued) Table 14-1. Acronyms Used in this Document (continued) Acronym Description Acronym Description PHUB peripheral hub SOF start of frame PHY physical layer SPI Serial Peripheral Interface, a communications protocol PICU port interrupt control unit SR slew rate PLA programmable logic array SRAM static random access memory PLD programmable logic device, see also PAL SRES software reset PLL phase-locked loop SWD serial wire debug, a test protocol PMDD package material declaration datasheet SWV single-wire viewer POR power-on reset TD transaction descriptor, see also DMA PRES precise low-voltage reset THD total harmonic distortion PRS pseudo random sequence TIA transimpedance amplifier PS port read data register PSoC® Programmable System-on-Chip™ TRM technical reference manual TTL transistor-transistor logic PSRR power supply rejection ratio TX transmit PWM pulse-width modulator UART Universal Asynchronous Transmitter Receiver, a RAM random-access memory communications protocol RISC reduced-instruction-set computing UDB universal digital block RMS root-mean-square USB Universal Serial Bus RTC real-time clock USBIO USB input/output, PSoC pins used to connect to RTL register transfer language a USB port RTR remote transmission request VDAC voltage DAC, see also DAC, IDAC RX receive WDT watchdog timer SAR successive approximation register WOL write once latch, see also NVL SC/CT switched capacitor/continuous time WRES watchdog timer reset SCL I2C serial clock XRES external reset I/O pin SDA I2C serial data XTAL crystal S/H sample and hold 15. Reference Documents SINAD signal to noise and distortion ratio SIO special input/output, GPIO with advanced PSoC® 3, PSoC® 5 Architecture TRM features. See GPIO. PSoC® 5 Registers TRM SOC start of conversion Document Number: 001-84932 Rev. ** Page 120 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 16. Document Conventions 16.1 Units of Measure Table 16-1. Units of Measure Symbol Unit of Measure °C degrees Celsius dB decibels fF femtofarads Hz hertz KB 1024 bytes kbps kilobits per second Khr kilohours kHz kilohertz kΩ kilohms ksps kilosamples per second LSB least significant bit Mbps megabits per second MHz megahertz MΩ megaohms Msps megasamples per second µA microamperes µF microfarads µH microhenrys µs microseconds µV microvolts µW microwatts mA milliamperes ms milliseconds mV millivolts nA nanoamperes ns nanoseconds nV nanovolts Ω ohms pF picofarads ppm parts per million ps picoseconds s seconds sps samples per second sqrtHz square root of hertz V volts Document Number: 001-84932 Rev. ** Page 121 of 122

® PSoC 5LP: CY8C58LP Family PRELIMINARY Datasheet 17. Revision History Description Title: PSoC® 5LP: CY8C58LP Family Datasheet Programmable System-on-Chip (PSoC®) Document Number: 001-84932 Orig. of Submission Revision ECN Description of Change Change Date ** 3825653 MKEA 12/07/2012 Datasheet for new CY8C58LP family 18. Sales, Solutions, and Legal Information Worldwide Sales and Design Support Cypress maintains a worldwide network of offices, solution centers, manufacturer’s representatives, and distributors. To find the office closest to you, visit us at Cypress Locations. Products PSoC Solutions Automotive cypress.com/go/automotive psoc.cypress.com/solutions Clocks & Buffers cypress.com/go/clocks PSoC 1 | PSoC 3 | PSoC 5LP Interface cypress.com/go/interface Lighting & Power Control cypress.com/go/powerpsoc cypress.com/go/plc Memory cypress.com/go/memory Optical & Image Sensing cypress.com/go/image PSoC cypress.com/go/psoc Touch Sensing cypress.com/go/touch USB Controllers cypress.com/go/USB Wireless/RF cypress.com/go/wireless © Cypress Semiconductor Corporation, 2012. The information contained herein is subject to change without notice. Cypress Semiconductor Corporation assumes no responsibility for the use of any circuitry other than circuitry embodied in a Cypress product. Nor does it convey or imply any license under patent or other rights. Cypress products are not warranted nor intended to be used for medical, life support, life saving, critical control or safety applications, unless pursuant to an express written agreement with Cypress. Furthermore, Cypress does not authorize its products for use as critical components in life-support systems where a malfunction or failure may reasonably be expected to result in significant injury to the user. The inclusion of Cypress products in life-support systems application implies that the manufacturer assumes all risk of such use and in doing so indemnifies Cypress against all charges. Any Source Code (software and/or firmware) is owned by Cypress Semiconductor Corporation (Cypress) and is protected by and subject to worldwide patent protection (United States and foreign), United States copyright laws and international treaty provisions. Cypress hereby grants to licensee a personal, non-exclusive, non-transferable license to copy, use, modify, create derivative works of, and compile the Cypress Source Code and derivative works for the sole purpose of creating custom software and or firmware in support of licensee product to be used only in conjunction with a Cypress integrated circuit as specified in the applicable agreement. Any reproduction, modification, translation, compilation, or representation of this Source Code except as specified above is prohibited without the express written permission of Cypress. Disclaimer: CYPRESS MAKES NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH REGARD TO THIS MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. Cypress reserves the right to make changes without further notice to the materials described herein. Cypress does not assume any liability arising out of the application or use of any product or circuit described herein. Cypress does not authorize its products for use as critical components in life-support systems where a malfunction or failure may reasonably be expected to result in significant injury to the user. The inclusion of Cypress’ product in a life-support systems application implies that the manufacturer assumes all risk of such use and in doing so indemnifies Cypress against all charges. Use may be limited by and subject to the applicable Cypress software license agreement. Document Number: 001-84932 Rev. ** Revised December 7, 2012 Page 122 of 122 CapSense®, PSoC®3, PSoC®5, and PSoC® Creator™ are trademarks and PSoC® is a registered trademark of Cypress Semiconductor Corp. All other trademarks or registered trademarks referenced herein are property of the respective corporations. Purchase of I2C components from Cypress or one of its sublicensed Associated Companies conveys a license under the Philips I2C Patent Rights to use these components in an I2C system, provided that the system conforms to the I2C Standard Specification as defined by Philips. ARM is a registered trademark, and Keil, and RealView are trademarks, of ARM Limited. All products and company names mentioned in this document may be the trademarks of their respective holders.

Mouser Electronics Authorized Distributor Click to View Pricing, Inventory, Delivery & Lifecycle Information: C ypress Semiconductor: CY8C5866LTI-LP022 CY8C5468AXI-LP106 CY8C5668AXI-LP013 CY8C5666LTI-LP005 CY8C5867LTI-LP028 CY8C5666AXI-LP004 CY8C5668LTI-LP014 CY8C5268AXI-LP047 CY8C5267LTI-LP089 CY8C5467LTI-LP003 CY8C5866AXI-LP021 CY8C5268LTI-LP030 CY8C5667LTI-LP009 CY8C5866AXI-LP020 CY8C5867AXI-LP024